Updated on 2024/02/13

写真a

 
Minoru Watanabe
 
Organization
Faculty of Environmental, Life, Natural Science and Technology Professor
Position
Professor
External link

Degree

  • 博士(情報工学) ( 2005.9   Kyushu Institute of Technology )

Research Areas

  • Informatics / Computer system  / リコンフィギャラブルシステム、FPGA,光再構成型ゲートアレイ

Education

  • Shizuoka University   工学研究科   光電機械工学専攻

    - 1994

      More details

    Country: Japan

    researchmap

  • Shizuoka University   工学部   光電機械工学科

    - 1992

      More details

    Country: Japan

    researchmap

Research History

  • 岡山大学 環境生命自然科学学域   教授

    2023.4

      More details

  • Okayama University   Faculty of Engineering Department of Information Technology   Professor

    2021.4 - 2023.3

      More details

  • Shizuoka University   創造科学技術大学院 ナノビジョンサイエンス部門   Associate Professor

    2012.4 - 2021.3

      More details

  • Shizuoka University   Faculty of Engineering Department of Electrical and Electronic Engineering   Associate Professor

    2007.4 - 2021.3

      More details

  • Kyushu Institute of Technology   情報工学部 システム創成情報工学科

    2005.4 - 2007.3

      More details

  • Kyushu Institute of Technology   情報工学部 システム創成情報工学科   Research Assistant

    2004.4 - 2005.3

      More details

  • Kyushu Institute of Technology   情報工学部 制御システム工学科   Research Assistant

    2000.1 - 2004.3

      More details

  • 日産自動車株式会社

    1994.4 - 1999.12

      More details

▼display all

Professional Memberships

▼display all

Committee Memberships

  • International Conference on Field-Programmable Technology   Program committee  

    2023.6 - 2023.9   

      More details

    Committee type:Academic society

    researchmap

  • リコンフィギャラブルシステム研究専門委員会   専門委員  

    2023.4 - 2024.3   

      More details

    Committee type:Academic society

    researchmap

  • ISoIRS 2023   Program Committee  

    2023.4 - 2023.11   

      More details

  • MWSCAS   Program Committee  

    2023.4 - 2023.5   

      More details

    Committee type:Academic society

    researchmap

  • International Conference on Consumer Electronics   Design Competitioin Chair  

    2023.2 - 2024.1   

      More details

    Committee type:Academic society

    researchmap

  • International Conference on Consumer Electronics   Special Session Chair  

    2023.2 - 2024.1   

      More details

    Committee type:Academic society

    researchmap

  • リコンフィギャラブルシステム研究専門委員会   専門委員  

    2022.4 - 2023.3   

      More details

    Committee type:Academic society

    researchmap

  • リコンフィギャラブルシステム研究会 FPGAデザインコンテスト   実行委員長  

    2022.4 - 2022.10   

      More details

    Committee type:Academic society

    researchmap

  • International Conference on Field-Programmable Technology   Design Competitioin Chair  

    2021.12 - 2022.12   

      More details

    Committee type:Academic society

    researchmap

  • リコンフィギャラブルシステム研究専門委員会   専門委員  

    2021.4 - 2022.3   

      More details

    Committee type:Academic society

    researchmap

  • International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   Program Committee  

    2021.4 - 2021.6   

      More details

    Committee type:Academic society

    researchmap

  • リコンフィギャラブルシステム研究会   FPGAデザインコンテスト 実行委員長  

    2021.3 - 2021.10   

      More details

    Committee type:Academic society

    researchmap

  • International Conference on Field-Programmable Technology   Design Competitioin Chair  

    2020.12 - 2021.12   

      More details

    Committee type:Academic society

    researchmap

  • 電子情報通信学会 東海支部   学生委員  

    2020.4 - 2021.3   

      More details

    Committee type:Academic society

    researchmap

▼display all

 

Papers

  • Parallel Configuration Experiment for a Radiation-Hardened Optically Reconfigurable Gate Array with a Holographic Polymer-Dispersed Liquid Crystal Memory Reviewed

    Sae Goto, Minoru Watanabe, Akifumi Ogiwara, Nobuya Watanabe

    International Conference on Consumer Electronics   2024.1

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Holographic Memory Formed by Different Laser Wavelengths in Laser Combiner System for Optically Reconfigurable Gate Array Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    IEEE International Conference on Consumer Electronics   2024.1

     More details

    Authorship:Last author, Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Application Design System for High-Speed Dynamically Reconfigurable Gate Arrays Reviewed

    International Conference on Consumer Electronics   2024.1

     More details

    Authorship:Last author, Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-hardened stabilized power supply unit based on bipolar transistors Reviewed

    Takato Tanizawa, Minoru Watanabe

    International Conference on Microelectronics   2023.12

     More details

    Authorship:Last author, Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optical multi-context scrubbing operation on a redundant system Reviewed International journal

    Kakeru Ando, Minoru Watanabe, Nobuya Watanabe

    Optics Express   31 ( 23 )   38529 - 38529   2023.10

     More details

    Authorship:Corresponding author   Publishing type:Research paper (scientific journal)   Publisher:Optica Publishing Group  

    This paper presents a proposal of the world-first optical multi-context scrubbing operation on a redundant system that can maintain the state of a sequential circuit and the operation continuously without any interruption on a radiation-hardened optically reconfigurable gate array even after a permanent failure suddenly happens on the sequential circuit or a flip-flop by radiation. Up to now, a high-speed optical scrubbing operation has been demonstrated on a radiation-hardened optically reconfigurable gate array. In addition, a multi-context scrubbing operation based on the high-speed optical scrubbing operation has already been demonstrated. Although the multi-context scrubbing operation presents the benefit that it can treat both soft-errors and permanent failures caused by radiation simultaneously, the conventional contributions have never presented how to maintain the state of a sequential circuit after a permanent failure occurs on flip-flops. Therefore, in the conventional multi-context scrubbing operation, all the operations must be restarted from the initial condition each time a permanent failure occurs on a programmable gate array. As a result, conventional multi-context scrubbing operations could not be applied for real-time systems. The proposed optical multi-context scrubbing method that can solve the issue has been experimentally evaluated on a radiation-hardened optically reconfigurable gate array.

    DOI: 10.1364/oe.500666

    researchmap

  • An optically reconfigurable gate array VLSI driven by an unstabilized power supply unit Reviewed

    Masashi Tsujino, Minoru Watanabe, Nobuya Watanabe

    2023 IEEE 36th International System-on-Chip Conference (SOCC)   2023.9

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/socc58585.2023.10257130

    researchmap

  • Construction of Laser Interferometer Consisting of Different Wavelengths Using Laser Combiner System for Fabrication of Holographic Memory for Optically Reconfigurable Gate Array Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    Microoptics Conference (MOC)   2023.9

     More details

    Authorship:Last author, Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Design example of a triple modular redundancy ALU, a register file, and a program counter for a processor

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Evaluation of low-voltage operations of an optically reconfigurable gate array VLSI

    Yuki Shimamura, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Photodiode current range measurement result of an optically reconfigurable gate array VLSI

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • An optically reconfigurable gate array driven by an unstabilized power supply unit

    Masashi Tsujino, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A mono instruction set computer architecture on an optically reconfigurable gate array VLSI

    Soma Imai, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Realization of a wafer-scale VLSI by using optically reconfigurable gate array architecture

    Atsushi Takata, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Sequential circuit implementation onto optically reconfigurable gate array VLSI using a ring oscillator

    Shintaro Takatsuki, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Remote monitoring system used in a severe radiation environment

    Utsuki Sekioka, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Multi-context-scrubbing operation for a 1-bit counter circuit Reviewed

    Kakeru Ando, Minoru Watanabe, Nobuya Watanabe

    2023 21st IEEE Interregional NEWCAS Conference (NEWCAS)   1 - 6   2023.6

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/newcas57931.2023.10198189

    researchmap

  • Design example of a triple modular redundancy ALU and register-file for RISC-V processors

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    RISC-V Days Tokyo 2023 Summer conference   2023.6

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-hardened triple-modular redundant field programmable gate array with a two-phase clock Reviewed

    Minoru Watanabe

    2023 IEEE International Symposium on Circuits and Systems (ISCAS)   1 - 6   2023.5

     More details

    Authorship:Lead author, Last author, Corresponding author   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/iscas46773.2023.10181472

    researchmap

  • Multi-context optically reconfigurable gate array system used for fast-neutron experiments Reviewed

    Sae Goto, Kakeru Ando, Kaho Yamada, Minoru Watanabe, Nobuya Watanabe, Makoto Kobayashi, Mitsutaka Isobe, Kunihiro Ogawa, Shingo Tamaki, Isao Murata, Sachie Kusaka

    16TH IEEE DALLAS CIRCUITS AND SYSTEMS CONFERENCE   - ( - )   1 - 1   2023.4

     More details

    Authorship:Corresponding author  

    researchmap

  • Total-Ionizing-Dose Tolerance Analysis of a Radiation-Hardened Image Sensor Reviewed

    Daisuke Bamba, Minoru Watanabe, Nobuya Watanabe

    2023 IEEE International Conference on Consumer Electronics (ICCE)   - ( - )   1 - 2   2023.1

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/icce56470.2023.10043521

    researchmap

  • Optically reconfigurable gate array VLSI that can support a perfect parallel configuration Reviewed

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    18th IEEE Asia Pacific Conference on Circuits and Systems   2022.11

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/APCCAS55924.2022.10090314

    researchmap

  • Cf252 neutron soft error tolerance of an optoelectronic field programmable gate array VLSI Reviewed

    Minoru Watanabe

    IEEE International Integrated Reliability Workshop   2022.10

     More details

    Authorship:Lead author, Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Total Dose Tolerance Analysis of an Optically Reconfigurable Gate Array VLSI Reviewed

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    IEEE International Conference on Electronics Circuits and Systems   2022.10

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ICECS202256217.2022.9970905

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    The sixth International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Station   2022.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optically reconfigurable gate array VLSI without any common signal

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The sixth International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Station   2022.8

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-hardened optically reconfigurable gate array Invited

    Minoru Watanabe

    Global Summit and Expo on Nanotechnology and Nanomaterials (GSENN)   2022.6

     More details

    Authorship:Lead author, Corresponding author   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7567/JJAP.54.09MA06

    researchmap

  • Convolutional neural network implementations using Vitis AI Reviewed

    Akihiko Ushiroyama, Minoru Watanabe, Nobuya Watanabe, Akira Nagoya

    IEEE 12th Annual Computing and Communication Workshop and Conference (CCWC)   365 - 371   2022.1

     More details

    Authorship:Corresponding author   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/CCWC54503.2022.9720794

    researchmap

  • Total-ionizing-dose tolerance evaluation of an optoelectronic field programmable gate array VLSI during operation Reviewed

    Hiroshi Ito, Minoru Watanabe

    International Conference on Field-Programmable Technology   2021.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ICFPT52863.2021.9609910

    researchmap

  • Analysis of optical properties and internal structures of γ-ray-irradiated holographic devices formed using liquid crystal composites Reviewed International journal

    Akifumi Ogiwara, Minoru Watanabe

    Optical Materials   123   111932 - 111932   2021.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:Elsevier BV  

    DOI: 10.1016/j.optmat.2021.111932

    researchmap

  • Radiation Hardened Optically Reconfigurable Gate Array Invited Reviewed

    Minoru Watanabe

    2021.11

     More details

    Authorship:Lead author, Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.7567/JJAP.54.09MA06

    researchmap

  • Optically reconfigurable gate array with a 1 Grad total-ionizing-dose tolerant holographic memory Reviewed

    Junya Ishido, Minoru Watanabe, Akifumi Ogiwara

    IEEE Photonics Conference (IPC)   2021.10

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/ipc48725.2021.9592957

    researchmap

  • Holographic gratings formed by wavelength multiplexing in liquid crystal composites Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    26th Microoptics Conference (MOC)   2021.9

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.23919/moc52031.2021.9598095

    researchmap

  • Sequential Circuit Implementation Method for Multi-Context Scrubbing Operations on FPGAs Reviewed

    Kurea Murakami, Minoru Watanabe

    IEEE International Symposium on Circuits and Systems (ISCAS)   2021.5

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/iscas51556.2021.9401291

    researchmap

  • Effects of a radiation dose in gamma-ray irradiation fields on holographic gratings formed by liquid crystal composites Reviewed International journal

    Akifumi Ogiwara, Makishi Toda, Junya Ishido, Minoru Watanabe, Hiroshi Kakiuchida

    OSA Continuum   4 ( 2 )   514 - 514   2021.2

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:The Optical Society  

    DOI: 10.1364/osac.415702

    researchmap

  • Optical Multi-Context Blind Scrubbing for Field Programmable Gate Arrays Reviewed International journal

    Yusuke Takaki, Minoru Watanabe

    IEEE Photonics Journal   12 ( 6 )   1 - 11   2020.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)   Publisher:Institute of Electrical and Electronics Engineers (IEEE)  

    DOI: 10.1109/jphot.2020.3038900

    researchmap

  • Radiation-hardened configuration-context realization for field programmable gate arrays Reviewed

    H. Shinba, M. Watanabe

    Applied Optics   59 ( 19 )   5680 - 5686   2020.6

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1364/AO.396525

    researchmap

  • Multi-context holographic memory exploiting a wavelength-dependent optimization technique Invited Reviewed

    Junya Ishido, Minoru Watanabe

    IEEE International Conference on Photonics   2020.5

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ICP46580.2020.9206463

    researchmap

  • Implementation of RISC-V Processor and MAX-10 FPGA

    Md Roman Ahmed, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University   2020.3

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Place and route tool for optically reconfigurable gate arrays with fault cells

    Yuki Takena, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University   2020.3

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation tolerance of a crystal oscillator circuit

    Yuichi Moriya, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University   2020.3

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-hardened optically reconfigurable gate array using a multi-wavelength holographic memory Reviewed

    Junya Ishido, Minoru Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects   2020.2

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Full-hardware triple modular and penta-modular redundancies using a high frequency majority voting operation Reviewed

    Masaki Watanabe, Minoru Watanabe

    IEEE Asia Pacific Conference on Circuits and Systems   2019.11

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/APCCAS47518.2019.8953122

    researchmap

  • Effect of radiation dose of Gamma-Ray irradiation on volume gratings using liquid crystal composites Reviewed

    Makishi Toda, Akifumi, Ogiwara, Minoru Watanabe

    Microoptics Conference   238 - 239   2019.11

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.23919/MOC46630.2019.8982829

    researchmap

  • Parallel-operation-oriented optically reconfigurable gate array VLSI with four gate array layers Reviewed

    Hirotoshi Ito, Minoru Watanabe

    IEEE International Conference on Space Optical Systems and Applications   2019.10

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • FPGA implementation of a robot control algorithm Reviewed

    Yusuke Takaki, Kohei Nagasu, Shin Abiko, Minoru Watanabe, Kentaro Sano

    International Conference on Emerging Technologies and Factory Automation   2019.9

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-degradation Analysis and a Circuit Performance Improvement Method for Optoelectronic Field Programmable Gate Array Reviewed

    Hirotoshi Ito, Minoru Watanabe

    2019.9

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optically Reconfigurable Gate Array with a triple modular redundancy Reviewed

    Toru Yoshinaga, Minoru Watanabe

    International Conference on Space Science and Communication   2019.7

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A 1.15 Grad total-ionizing-dose tolerance parallel-operation-oriented optically reconfigurable gate array VLSI Reviewed

    Takumi Fujimori, Minoru Watanabe

    IEEE International Workshop on Metrology for AeroSpace   2019.6

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • An optically reconfigurable gate array workable under a strong gamma radiation environment Reviewed

    Shinya Fujisaki, Takumi Fujimori, Minoru Watanabe

    IEEE- Workshop on Microelectronics and Electron Devices   2019.4

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/WMED.2019.8714154

    researchmap

  • 1 Grad radiation-hardened optoelectronic embedded system

    M. Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects   2019.3

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • FPGAによる自動車の自動走行コンテスト Invited

    渡邊 実

    情報・システムソサイエティ誌   24 ( 3 )   2019

     More details

    Authorship:Lead author, Corresponding author   Language:Japanese   Publishing type:Research paper (other academic)  

    researchmap

  • Soft-error tolerance of an optically reconfigurable gate array VLSI Reviewed

    T. Fujimori, M. Watanabe

    INTERNATIONAL CONFERENCE ON SYSTEMS ENGINEERING   1 - 6   2018.12

     More details

    Authorship:Corresponding author   Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1109/ICSENG.2018.8638203

    researchmap

  • Many modular redundancy implementation on CPLD

    Masaki Watanabe, Minoru Watanabe

    2018.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Full-hardware robot controller

    2018.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-hardened power supply unit

    2018.11

     More details

    Authorship:Last author, Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-hardened optically reconfigurable gate array Reviewed

    2018.11

     More details

    Authorship:Lead author, Last author, Corresponding author   Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • An optically reconfigurable gate array using four liquid crystal spatial light modulators Reviewed

    Y. Takaki, M. Watanabe

    IEEE CPMT Symposium Japan   185 - 188   2018.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ICSJ.2018.8602907

    researchmap

  • Triple modular redundancy optically reconfigurable gate array

    2018.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation-hardened motor controller

    2018.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optically reconfigurable gate array using a colored configuration, Reviewed

    T. Fujimori, M. Watanabe

    Applied Optics   57 ( 29 )   8625 - 8631   2018.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    DOI: 10.1364/AO.57.008625

    researchmap

  • Total-Ionizing-Dose Tolerance of the configuration function of MAX3000A CPLDs Reviewed

    T. Fujimori, M. Watanabe

    Data Workshop   2018.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    researchmap

  • Radiation-hardened and stabilized power supply unit based on a lithiumion battery Reviewed

    S. Fujisaki, M. Watanabe

    Radiation and its Effects on Components and Systems conference   2018.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Effects of radiation exposure on volume gratings formed in liquid crystal composites Reviewed

    A Ogiwara, M. Toda, M. Watanabe, H. Kakiuchida

    2018 KJF International Conference on Organic Materials for Electronics and Photonics   2018.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Ultrasonic sensor system with a 94 Mrad total-ionizing-dose tolerance Reviewed

    S. Fujisaki, M. Watanabe

    IEEE International Conference on Semiconductor Electronics   263 - 266   2018.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/SMELEC.2018.8481328

    researchmap

  • A 400 Mrad radiation-hardened optoelectronic embedded system with a silver-halide holographic memory Reviewed

    T. Fujimori, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems   2018.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/AHS.2018.8541375

    researchmap

  • Tolerance of radiation exposure on volume gratings using liquid crystal composites

    M.Toda, A.Ogiwara, M.Watanabe

    27th International Liquid Crystal Conference (ILCC2018)   P4-C2-47   2018.7

     More details

  • A 603 Mrad total-ionizing-dose tolerance optically reconfigurable gate array VLSI Reviewed

    T. Fujimori, M. Watanabe

    International Conference on Signals and Systems   249 - 254   2018.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ICSIGSYS.2018.8372766

    researchmap

  • High total-ionizing-dose tolerance field programmable gate array Reviewed

    T. Fujimori, M. Watanabe

    IEEE International Symposium on Circuits and Systems   1 - 4   2018.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ISCAS.2018.8351543

    researchmap

  • An 807 Mrad total dose tolerance of an optically reconfigurable gate array VLSI

    T. Fujimori, M. Watanabe

    2018.4

     More details

    Language:English  

    researchmap

  • FFT implementation using mono-instruction set computer (MISC) architecture Reviewed

    H. Shinba, M. Watanabe

    Second Workshop on Pioneering Processor Paradigms   2018.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Resistance evaluation of holographic polymer-dispersed liquid crystal memory for gamma-ray irradiation Reviewed

    Akifumi Ogiwara, Minoru Watanabe, Yoshizumi Ito

    22nd Microoptics Conference, MOC 2017   2017-   200 - 201   2017.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    The radiation-hardened characteristics of holographic polymer-dispersed liquid crystal (HPDLC) memory are investigated for the applications requiring high reliability using an optically reconfigurable gate array. The optical properties and internal grating structures are investigated by using a cobalt 60 gamma radiation source to examine the resistance of 100 Mrad total-ionizing-dose.

    DOI: 10.23919/MOC.2017.8244556

    Scopus

    researchmap

  • Parallel light configuration that increases the radiation tolerance of integrated circuits Reviewed

    Takumi Fujimori, Minoru Watanabe

    OPTICS EXPRESS   25 ( 23 )   28136 - 28145   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Total ionizing dose tolerances of current integrated circuits are limited to 3-10 kGy because semiconductor devices are fundamentally vulnerable to radiation. However, using programmable architecture, the total ionizing dose tolerances of integrated circuits can be increased if the integrated circuits can be repaired each time a permanent failure occurs. Nevertheless, current programmable devices cannot allow such repairable use because their serial programming functions fail immediately, even if only a few transistors on the devices are damaged. To increase the radiation tolerance of integrated circuits, this paper presents a proposal of a new optoelectronic programmable device with a parallel light configuration architecture instead of current field programmable gate arrays which have a serial configuration architecture. This demonstration confirms 1.9 MGy radiation tolerance on an optoelectronic programmable device using a non-radiation-hardened standard complementary metal oxide semiconductor process. (C) 2017 Optical Society of America

    DOI: 10.1364/OE.25.028136

    Web of Science

    researchmap

  • Small Area Implementation for Optically Reconfigurable Gate Array VLSI: FFT Case Reviewed

    Ili Shairah Abdul Halim, Fuminori Kobayashi, Minoru Watanabe, Koichiro Mashiko, Ooi Chia Yee

    JOURNAL OF SCIENTIFIC & INDUSTRIAL RESEARCH   76 ( 11 )   697 - 700   2017.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:NATL INST SCIENCE COMMUNICATION-NISCAIR  

    Optically reconfigurable gate array (ORGA) is a type of multi-context field programmable gate array (FPGA) that has achieved a nanosecond-order reconfiguration capability as well as attaining numerous reconfiguration contexts. Its high-speed dynamic reconfiguration capability is suitable for dynamically changing the function of multi-core processor. ORGA system has high dependability in a radiation rich environment and the development is progressing towards better radiation tolerance. In this paper, size minimization of the ORGA-VLSI is the main concern to maintain its high dependability; hence wire complexity needs special consideration during floor planning. A case of Fast Fourier Transform (FFT) is shown to demonstrate the effectiveness of circuit modification by implementing dynamic reconfiguration for area optimization. Results show that, compared with a normal FFT configuration, the minimum wirelength is reduced 5.5% for a 32-point FFT implementation.

    Web of Science

    researchmap

  • FPGA hardware accelerator for holographic memory calculations for optically reconfigurable gate arrays Reviewed

    Yoshizumi Ito, Minora Watanabe

    2017 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2017   146 - 149   2017.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Radiation-hardened optically reconfigurable gate arrays have been developed for use in space embedded systems. An optically reconfigurable gate array consists of a holographic memory, a laser array, and an optically reconfigurable gate array VLSI, which is one type of radiation-hardened SRAM-based field programmable gate array (FPGA). However, optically reconfigurable gate arrays have the important feature of faster scrubbing operations than that of radiation-hardened SRAM-based FPGAs. Therefore, the soft-error-tol er anees of the configuration memories of optically reconfigurable gate arrays become much higher than those of FPGAs. However, the calculation load of a lot of holographic memory patterns on a personal computer is extremely heavy. This paper therefore presents a hardware accelerator using an FPGA. The Vivado-high-level synthesis tool (Xilinx Inc.) has been used for development. Its operation speed was improved drastically from 1,590 ms to 3.12 ms while its power consumption for calculations has been decreased drastically from 55 W to 4.4 W.

    DOI: 10.1109/ICSOS.2017.8357225

    Scopus

    researchmap

  • Optically reconfigurable gate array driven by a lithium-ion battery Reviewed

    S. Fujisaki, M. Watanabe, Y. Takaki

    IEEE CPMT Symposium Japan   227 - 230   2017.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ICSJ.2017.8240123

    researchmap

  • Holographic memory calculation FPGA accelerator for optically reconfigurable gate arrays Reviewed

    Takumi Fujimori, Minoru Watanabe

    Proceedings - 2017 IEEE 15th International Conference on Dependable, Autonomic and Secure Computing, 2017 IEEE 15th International Conference on Pervasive Intelligence and Computing, 2017 IEEE 3rd International Conference on Big Data Intelligence and Computing and 2017 IEEE Cyber Science and Technology Congress, DASC-PICom-DataCom-CyberSciTec 2017   2018-   620 - 625   2017.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, radiation-hardened optically reconfigurable gate arrays have been developed for space applications. An optically reconfigurable gate array comprises a holographic memory, a laser array, and an optically reconfigurable gate array VLSI. Since the optically reconfigurable gate array is a type of multi-context field programmable gate array (FPGA), several configuration contexts must be implemented onto the holographic memory on an optically reconfigurable gate array. However, the holographic memory pattern calculation is a heavy operation in addition to logic synthesis and to place and route operations. This paper therefore presents an FPGA hardware accelerator for hologram memory calculation for optically reconfigurable gate arrays with an FPGA (Cyclone V
    Altera Corp.).Performance evaluation results show that the calculation speed of a hologram memory pattern including 512 bright bits is 16.9 times higher than multi-thread calculation on the CPU (Core i7-4770
    Intel Corp.). Furthermore, the FPGA hardware accelerator power consumption is only 6 W, compared to 95 W of the CPU (Core i7-4770
    Intel Corp.).

    DOI: 10.1109/DASC-PICom-DataCom-CyberSciTec.2017.109

    Scopus

    researchmap

  • Radiation tolerance demonstration of high-speed scrubbing on an optically reconfigurable gate array Reviewed

    Takumi Fujimori, Minoru Watanabe

    International System on Chip Conference   2017-   91 - 95   2017.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE Computer Society  

    Recently, field programmable gate arrays (FPGAs) are anticipated for use in high-radiation environments such as the Fukushima Daiichi nuclear power plant. According to recent news, regions with 650 Sv/h radiation have been found at the Fukushima Daiichi nuclear power plant. Under such extremely high radiation environments, high-speed scrubbing operations must be used to maintain correct circuit information on the configuration memory of programmable gate arrays. Up to now, optical high-speed scrubbing based on an optically reconfigurable gate array has been proposed. This paper presents a demonstration of the radiation tolerance of the optical high-speed scrubbing based on an optically reconfigurable gate array VLSI by using lasers that emulate strong radiation environments. It has been confirmed that 70-ns period high-speed scrubbing operations on the optically reconfigurable gate array are never disturbed by the emulated radiation.

    DOI: 10.1109/SOCC.2017.8226014

    Scopus

    researchmap

  • Asynchronous optical bus for optical VLSIs Reviewed

    Takumi Fujimori, Minoru Watanabe

    7th International Conference on Innovative Computing Technology, INTECH 2017   162 - 166   2017.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, optically reconfigurable gate arrays consisting of a holographic memory, a laser array, and an optically reconfigurable gate array VLSI have been under development as high-speed dynamic reconfigurable devices. High-speed dynamic reconfiguration of optically reconfigurable gate arrays can be achieved using a two-dimensional optical bus. If such high-speed reconfiguration were feasible, then its programmable gate array performance could be increased dramatically. This paper presents a demonstration of high-speed asynchronous optical dynamic reconfiguration based on an optically reconfigurable gate array VLSI. The reconfiguration speed of the optically reconfigurable gate array can reach 206.44 Gbit/s.

    DOI: 10.1109/INTECH.2017.8102440

    Scopus

    researchmap

  • Multi-context scrubbing method Reviewed

    Takumi Fujimori, Minora Watanabe

    Midwest Symposium on Circuits and Systems   2017-   1548 - 1551   2017.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Two salient concerns of current field programmable gate arrays (FPGAs) used for space applications are how to block soft errors that arise on their configuration memories and how to treat permanent failures attributable to total dose effects. To date, those two main concerns have been treated separately, but we present a proposal for multi-context scrubbing to 'kill two birds with one stone' and resolve both issues simultaneously. To decrease the frequency of soft errors arising on the configuration memories of FPGAs, applying scrubbing operations for configuration memories is extremely useful. Since faster scrubbing can increase the radiation tolerances of the configuration memories on FPGAs, optical high-speed scrubbing using optically reconfigurable gate array (ORGA) architecture is introduced. Up to now, major scrubbing operations have invariably used a single configuration context, but since the storage capacities of holographic memories on ORGAs are high, many configuration contexts can be stored on a holographic memory. Thereby, various configuration contexts that avoid permanent failures can be used cyclically for scrubbing operations. Even if a permanent failure occurs on the programmable gate array during scrubbing operations, which exploit numerous configuration contexts, correct operations can be executed.

    DOI: 10.1109/MWSCAS.2017.8053231

    Scopus

    researchmap

  • Development of a radiation-hardened embedded system used for robots decommissioning nuclear reactors Reviewed

    M. Watanabe

    ACTINIDES2017   2017.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • 500 Mrad total-ionizing-dose tolerance of a holographic memory on an optical FPGA Reviewed

    Yoshizumi Ito, Minora Watanabe, Akifumi Ogiwara

    2017 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2017   167 - 171   2017.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Currently, radiation-hardened static random access memory (SRAM) based field programmable gate arrays (FPGAS) are used frequently for space applications. Invariably, such SRAM-based FPGAS are used along with an electrically erasable programmable read-only memory (EEPROM). Although the radiation tolerance of data stored on EEPROMs is much higher than that stored on SRAMs, the radiation tolerance of the data on an EEPROM is not perfectly safe because electrons on the floating gates of transistors are also disturbed by strong radiation. If high-energy charged particles are incident to the EEPROM, then the EEPROM data might be destroyed by the radiation. In stark contrast, the radiation tolerances of holographic memories are perfect Recording and reading of holographic memory can be executed optically. They never depend on electrons or holes. Moreover, data stored on holographic memory are read by summations of numerous light waves. The operation can be regarded as a majority voting operation. Therefore, even if half of a holographic memory is removed, the remaining half of the holographic memory can generate recorded data correctly. This paper describes a holographic memory based FPGA or an optically reconfigurable gate array (ORGA). Furthermore, this paper explains that a holographic memory can function correctly up to a 500 Mrad total-ionizing-dose, exhibiting 1,667 times higher radiation tolerance than current radiation-hardened VLSIs and FPGAS.

    DOI: 10.1109/AHS.2017.8046374

    Scopus

    researchmap

  • High-speed scrubbing based on asynchronous optical configuration Reviewed

    Takumi Fujimori, Minoru Watanabe

    2017 2nd International Conference on Opto-Electronic Information Processing, ICOIP 2017   74 - 78   2017.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, field programmable gate arrays (FPGAs) are anticipated for use in high-radiation environments such as that of the Fukushima Daiichi nuclear power plant. According to recently reported news, an area with 650 Sv/h radiation intensity has been confirmed outside of a containment vessel at the Fukushima Daiichi nuclear power plant. For uses under such extremely high radiation environments, high-speed scrubbing operations must be applied for FPGAs. A high-speed optical scrubbing method that can be used on optically reconfigurable gate arrays has been proposed in recent reports of the literature. Such optically reconfigurable gate arrays using optical high-speed scrubbing can be used in environments with intense radiation. In addition, to increase the optical scrubbing operation speed, this paper demonstrates a high-speed optical scrubbing method with a simpler sequence for use on an optically reconfigurable gate array. The 50 ns period high-speed scrubbing operation could be confirmed on the fabricated optically reconfigurable gate array VLSI.

    DOI: 10.1109/OPTIP.2017.8030702

    Scopus

    researchmap

  • Gate density advantage of parallel-operation-oriented FPGA architecture Reviewed

    Takumi Fujimori, Minora Watanabe

    Proceedings of the IEEE National Aerospace Electronics Conference, NAECON   2017   155 - 158   2017.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, many studies of field programmable gate array (FPGA) hardware accelerators have been reported, in addition to studies of general-purpose computing on graphics processing units (GPGPUs), Xeon Phi, and so on. Since parallel processing is indispensable for such accelerating applications on FPGAs, implementing numerous parallel processing circuits is important to improve the performance of such FPGA hardware accelerators. When implementing a parallel operation for a conventional FPGA, some waste occurs: the same context is stored on numerous regions of configuration memory. This waste presents a critical issue because FPGAs used as accelerators perform parallel processing exclusively in most cases. This paper therefore proposes a parallel-operation-oriented FPGA exploiting a common configuration context. Herein, we describe the advantages of gate density, propagation delay, and compilation time in parallel-operation-oriented FPGAs.

    DOI: 10.1109/NAECON.2017.8268761

    Scopus

    researchmap

  • Tolerance of holographic polymer-dispersed liquid crystal memory for gamma-ray irradiation Reviewed

    Akifumi Ogiwara, Minoru Watanabe, Yoshizumi Ito

    APPLIED OPTICS   56 ( 16 )   4854 - 4860   2017.6

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    The radiation-hardened characteristics of holographic polymer-dispersed liquid crystal (HPDLC) memory are discussed in the application for an optically reconfigurable gate array. The radiation experiments are conducted using a cobalt 60 gamma radiation source to examine the tolerance of a 100 Mrad total ionizing dose for the HPDLC memory. The optical properties are compared in the conditions before and after gamma-ray irradiation for the fabricated HPDLC gratings. The effects of gamma-ray irradiation on the internal grating structure are also investigated by polarization optical microscopy and scanning electron microscopy observations. The HPDLC memory irradiated by a 100 Mrad total ionizing dose demonstrates the implementation of the optical reconfiguration in a gate-array VLSI. (C) 2017 Optical Society of America

    DOI: 10.1364/AO.56.004854

    Web of Science

    researchmap

  • 300 Mrad total-ionizing-dose tolerance of a holographic memory on an optically reconfigurable gate array Reviewed

    Yoshizumi Ito, Minoru Watanabe, Akifumi Ogiwara

    2017 6th International Symposium on Next Generation Electronics, ISNE 2017   1 - 3   2017.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Currently, radiation-hardened field programmable gate arrays (FPGAs) are sought for embedded systems designed for use in space. However, in terms of soft-error and permanent failure, the radiation tolerances of configuration memories on current FPGAS are not high. Therefore, to remove the soft-error on configuration memories of FPGAS, optically reconfigurable gate arrays with a parallel configuration capability have been proposed. The optically reconfigurable gate array consists of an optically reconfigurable gate array VLSI, a holographic memory, and a laser array. Since the optically reconfigurable gate array allows high-speed scrubbing of its configuration memory, the soft-error factor on configuration memory can be removed from consideration. Moreover, the parallel configuration allows uses of radiation-damaged gate arrays so that the optically reconfig-urable gate array can increase the radiation tolerance. However to support such high-speed scrubbing, its optical part must work correctly even if it receives a large amount of radiation. This paper therefore presents a system in which the holographic memory can function correctly despite exposure up to 300 Mrad total-ionizing-dose, which is 300-times-higher radiation tolerance than those of current VLSIs and FPGAS.

    DOI: 10.1109/ISNE.2017.7968743

    Scopus

    researchmap

  • Error injection analysis for triple modular and penta-modular redundancies Reviewed

    Ryo Terada, Minoru Watanabe

    2017 6th International Symposium on Next Generation Electronics, ISNE 2017   1 - 4   2017.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, radiation-hardened embedded systems are demanded for robots that must function in high-radiation environments such as those prevailing at the Fukushima Daiichi and Chernobyl nuclear power plants. Recent news reports have described the discovery of a 650 Sv/h radiation region near the containment vessels of the Fukushima Daiichi nuclear power plant. Even under such a dauntingly intense radiation environment, workable robots are required. This paper therefore present a high-soft-error-tolerant 16-bit adder unit based on penta-modular redundancy (SMR) in addition to triple modular redundancy (TMR). The soft-error tolerances of the TMR and SMR were analyzed theoretically. Such TMR and SMR circuits were implemented onto a field programmable gate array (FPGA). Then error-injection experiments for the TMR and SMR were done on the FPGA. Analytical results have revealed that SMR 16-bit adder circuit is useful for heavy radiation environments.

    DOI: 10.1109/ISNE.2017.7968746

    Scopus

    researchmap

  • Motor controller radiation tolerance experiments Reviewed

    Takumi Hatamochi, Minoru Watanabe

    2017 6th International Symposium on Next Generation Electronics, ISNE 2017   1 - 2   2017.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, radiation-hardened embedded systems are sought for robots working under high-radiation environments such as the Fukushima Daiichi and Chernobyl nuclear power plants. Recent news reports have described a region with 650 Sv/h radiation outside of containment vessels at the Fukushima Daiichi nuclear power plant. Even in such heavy radiation environments, functioning robots are required. This paper therefore presents radiation-hardened motor controllers used for robots. The radiation tolerances of motor controllers were evaluated using irradiation by a 60Co gamma source.

    DOI: 10.1109/ISNE.2017.7968747

    Scopus

    researchmap

  • High-speed scrubbing demonstration using an optically reconfigurable gate array Reviewed

    Takumi Fujimori, Minoru Watanabe

    OPTICS EXPRESS   25 ( 7 )   7807 - 7817   2017.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    This paper presents a proposal for a high-speed scrubbing method based on an optically reconfigurable gate array (ORGA) architecture. A salient concern for current field programmable gate arrays (FPGAs) used in high-radiation environments is the high frequency of soft-errors occurring on their configuration memories. Even if triple modular redundancy is used for implementations on FPGAs, soft-error tolerance issues on the configuration memories cannot be alleviated. This paper therefore presents a high-speed scrubbing method that is applicable to ORGA architectures, in addition to its experimental demonstration on an ORGA-VLSI. The mean time between soft-errors (MTBF) on the ORGA configuration memory has been analyzed theoretically: the MTBF can be extended to 1.35-1.89 million times longer than those of current FPGAs. (C) 2017 Optical Society of America

    DOI: 10.1364/OE.25.007807

    Web of Science

    researchmap

  • Japanese High-level Synthesis Tools for FPGA Hardware Acceleration Invited Reviewed

    WATANABE Minoru, SANO Kentaro, TAKAMAEDA Shinya, MIYOSHI Takefumi, NAKAJO Hironori

    J100-B ( 1 )   1 - 10   2017.1

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)  

    Recently, field programmable gate arrays (FPGAs) are being widely used for consumer electronics, automotive embedded systems, space embedded systems, and so on. Since the performances of FPGAs were much lower than those of application specific integrated circuits (ASICs) until around 2006 due to their look-up table and switching matrix architectures, FPGAs were only used for prototyping systems, tests, research equipment, and so on and could not be used for high-performance systems. However, subsequently, FPGAs have been being fabricated by using the latest VLSI technology while ASICs could only use retro process technologies. Up to now, the performances of FPGAs have been improved drastically. A lot of papers have presented that hardware accelerators on FPGAs are useful for increasing the performances of a software operations on computer systems. Moreover, XILINX and Altera are currently providing general-purpose high-level synthesis tools. However, the performances are not better than those of designs using hardware description language. Therefore, this paper introduces some new Japanese high-level synthesis tools which are useful for specific domains.

    DOI: 10.14923/transcomj.2016jbi0002

    researchmap

  • Optically reconfigurable gate array platform for Mono-instruction set computer architecture Reviewed

    Hiroki Shimba, Minoru Watanabe

    2017 IEEE 7th Annual Computing and Communication Workshop and Conference   1 - 4   2017.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    The operating clock frequency of the latest processor has never been increased because of recent process issues. A game change must occur to achieve progress in clock frequencies. Therefore, we propose a mono-instruction set computer (MISC) architecture based on optically reconfigurable gate array architecture. The MISC architecture consists of various single instruction processor cores having only one instruction. Using the MISC architecture, the processor performance can be increased drastically. However, the only requirement is the use of a highspeed dynamically reconfigurable device or an optically reconfigurable gate array (ORGA). As described herein, we present the latest ORGA and discuss benefits of MISC architecture based on the ORGA.

    DOI: 10.1109/CCWC.2017.7868473

    Web of Science

    researchmap

  • A 200 Mrad radiation tolerance of a polymer-dispersed liquid crystal holographic memory Reviewed

    Yoshizumi Ito, Minoru Watanabe, Akifumi Ogiwara

    PROCEEDINGS OF 2016 IEEE 18TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS; IEEE 14TH INTERNATIONAL CONFERENCE ON SMART CITY; IEEE 2ND INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)   1534 - 1535   2016.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Today, although Blu-ray, DVD, CD, hard disk drive, solid state drive, electrically erasable programmable read-only memory (EEPROM) are available, the lifetime of stored data is short. To store large amounts of data longer than 100 years, long-term data storage media are necessary. Moreover, systems designed for use in space require highly radiation-tolerant memory. As long-term data storage media this study demonstrates that a polymer-dispersed liquid crystal holographic memory has a high radiation tolerance by using a cobalt 60 gamma radiation source. Results show that the holographic memory radiation tolerance is more than 667 times higher than EEPROM radiation tolerance.

    DOI: 10.1109/HPCC-SmartCity-DSS.2016.29

    Web of Science

    researchmap

  • A 300 Mrad total-ionizing dose experiment of lasers used for holographic memories Reviewed

    T. Akabe, M. Watanabe

    International Conference On Advances in Computing, Electronics and Electrical Technology   17 - 20   2016.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Compilation time advantage of parallel-operation-oriented optically reconfigurable gate arrays Reviewed

    Takumi Fujimori, Minoru Watanabe

    2016 INTERNATIONAL CONFERENCE ON ADVANCED MECHATRONIC SYSTEMS (ICAMECHS)   306 - 311   2016.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, studies have matured of field programmable gate arrays (FPGAs) that realize hardware acceleration. For such hardware acceleration on FPGAs, hugely parallel computation is frequently used. Consequently, numerous identical circuits are implemented onto an FPGA. However, identical configuration contexts of numerous identical circuits are stored on different regions of the configuration memory when a using currently available FPGA, which is exceedingly wasteful. Therefore, we propose a parallel-operation-oriented ORGA with many gate array layers sharing a common configuration context. In programmable devices, the configuration memory and configuration circuit occupy a large implementation area: 70 % - 80 % of the entire VLSI chip area. For that reason, the gate density of the programmable device could be increased if the amount of configuration memory were decreased. Therefore, a parallel-operation-oriented ORGA presents advantages in terms of gate density. Furthermore, the parallel-operation-oriented ORGA architecture presents the important advantage of shorter compilation time. This study clarifies the benefits of the parallel-operation-oriented ORGA in comparison to those of an FPGA having equivalent gate array structure based on the same process technology.

    DOI: 10.1109/ICAMechS.2016.7813465

    Web of Science

    researchmap

  • Photodiode sensitivity measurement methodology using low light intensity for optically reconfigurable gate arrays Reviewed

    Bharat Ramanathan, Minoru Watanabe

    2016 11TH INTERNATIONAL CONFERENCE ON COMPUTER SCIENCE & EDUCATION (ICCSE)   454 - 457   2016.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    An optically reconfigurable gate array (ORGA), an alternative technology to commercially available field programmable gate arrays (FPGAs), is much faster. Moreover, it can operate in radiation-rich environments. An ORGA uses a laser array, a holographic memory, and an ORGA-VLSI chip including a fine-grained programmable gate array. Many configuration contexts can be stored on the holographic memory and can be addressed by the laser array. The ORGA-VLSI can be programmed optically through numerous photodiodes. To realize faster configuration, measuring the variation of photodiode characteristics is extremely important. Nevertheless, photodiode characteristics have not been estimated to date because measurements must be done using its programmable gate array such that the measurement results include a photodiode response time and propagation delay on the programmable gate array. They cannot be classified clearly. Therefore, this paper proposes a photodiode sensitivity measurement method using low light intensity. Results show that the measurement methodology can remove the propagation delay factor and can extract photodiode sensitivity.

    DOI: 10.1109/ICCSE.2016.7581623

    Web of Science

    researchmap

  • Effects of multi-context information recorded at different regions in holographic polymer-dispersed liquid crystal on optical reconfiguration Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 8 )   08RG04-1 - 08RG04-6   2016.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    A holographic polymer-dispersed liquid crystal (HPDLC) memory to record multi-context information for an optically reconfigurable gate array is formed by constructing a laser illumination system to implement successive laser exposures at different small regions in a glass cell filled with LC composites. The context pattern arrangements for circuit information are designed in a 3 x 3 in. 2 photomask by electron beam lithography, and they are recorded as laser interference patterns at nine regions separated in an HPDLC sample by a laser interferometer composed of movable pinhole and photomask plates placed on motorized stages. The multi-context information reconstructed from the different regions in the HPDLC is written to a photodiode array in a gate-array VLSI by switching only the position of laser irradiation using the displacement of the pinhole plate under the control of a personal computer ( PC). The effects of multi-context information recorded at different regions in the HPDLC on optical reconfiguration are discussed in terms of the optical system composed of ORGA VLSI and HPDLC memory. The internal structures in the HPDLC memory formed by multi-context recording are investigated by scanning electron microscopy ( SEM) observation, and the configurations composed of LC and polymer phases are revealed at various regions in the HPDLC memory. (C) 2016 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.55.08RG04

    Web of Science

    researchmap

  • Direct optical communication on an optically reconfigurable gate array Reviewed

    Shinya Furukawa, Ili Shairah Abdul Halim, Minoru Watanabe, Fuminori Kobayashi

    2016 FIFTH INTERNATIONAL CONFERENCE ON FUTURE COMMUNICATION TECHNOLOGIES (FGCT)   17 - 20   2016.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    As one type of field programmable gate array (FPGA), optically reconfigurable gate arrays (ORGAs) have been undergoing continual development. ORGAs are optoelectronic devices consisting of a holographic memory, a laser array, a programmable gate array. Since the storage capacity of such holographic memory is greater than that of two-dimensional semiconductor memory, an ORGA can accommodate more huge gates and provide higher performance than FPGAs. A programmable gate array of an ORGA has numerous photodiodes that can be reconfigured optically using configuration contexts on a holographic memory. Although the photodiodes are normally used only for a configuration procedure, the photodiodes are useful for direct input of optical communication signals. This paper therefore presents a demonstration of optical communication on ORGA's programmable gate array.

    DOI: 10.1109/FGCT.2016.7605065

    Web of Science

    researchmap

  • Architecture-Independent Negative Logic Implementation for Optically Reconfigurable Gate Arrays Reviewed

    Takumi Fujimori, Minoru Watanabe

    PROCEEDINGS OF 2016 7TH INTERNATIONAL CONFERENCE ON MECHANICAL AND AEROSPACE ENGINEERING, (ICMAE)   381 - 385   2016.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) comprise a holographic memory, a laser array, and an optically reconfigurable gate array VLSI. According to holographic memory properties, the reconfiguration speed and radiation tolerance of ORGAs depend on the number of bright bits included in a configuration context. This paper therefore proposes a method of reducing the number of bright bits included in a configuration context using negative logic implementation. Since the method is architecture-independent, the method offers the important benefit that it is never necessary to modify the architecture of optically reconfigurable gate array VLSIs. This paper describes experimental demonstrations of the reconfiguration speed and radiation-tolerance advantages of the method.

    DOI: 10.1109/ICMAE.2016.7549570

    Web of Science

    researchmap

  • Demonstrating a Holographic Memory Having 100 Mrad Total-Ionizing-Dose Tolerance Reviewed

    Yoshizumi Ito, Minoru Watanabe, Akifumi Ogiwara

    PROCEEDINGS OF 2016 7TH INTERNATIONAL CONFERENCE ON MECHANICAL AND AEROSPACE ENGINEERING, (ICMAE)   377 - 380   2016.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Currently, space systems require highly radiation tolerant memory. If memory with high radiation tolerance were available, then shielding of embedded systems for use in space could be reduced or removed, thereby greatly decreasing the weight of such space embedded systems. This study examines the radiation-hardened characteristics of a holographic memory. Using a cobalt 60 gamma radiation source, radiation experiments were conducted for a photopolymer holographic memory. Results show that the holographic memory can function correctly at a 100 Mrad total-ionizing dose. The radiation tolerance of the holographic memory is over 300 times higher than that of an electrically erasable programmable read-only memory (EEPROM). Moreover, this paper shows a demonstration applied for an optically reconfigurable gate array. The configuration procedure could be executed by using the radiation-damaged holographic memory.

    DOI: 10.1109/ICMAE.2016.7549569

    Web of Science

    researchmap

  • Radiation tolerance of a MEMS mirror device Reviewed

    Takumi Fujimori, Minoru Watanabe

    2016 INTERNATIONAL CONFERENCE ON OPTICAL MEMS AND NANOPHOTONICS (OMN)   1 - 2   2016.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This paper presents experimentally obtained results demonstrating the radiation tolerance of a MEMS mirror device. The radiation tolerance was confirmed as 170 Mrad or higher total-ionizing dose tolerance.

    DOI: 10.1109/OMN.2016.7565925

    Web of Science

    researchmap

  • A 180 Mrad Total-Ionizing Dose Experiment for Laser Arrays on Optically Reconfigurable Gate Arrays Reviewed

    K. Akagi, M. Watanabe

    25th Annual Single Event Effects (SEE) Symposium   2016.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Quality Recovery Method of Interference Patterns Generated From Faulty MEMS Spatial Light Modulators Reviewed

    Minoru Watanabe

    JOURNAL OF LIGHTWAVE TECHNOLOGY   34 ( 3 )   910 - 917   2016.2

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    Currently, microelectromechanical system (MEMS) spatial light modulators (SLMs) with numerous tiny mirrors that are controllable as a binary state are available. Such MEMS spatial light modulators are useful as holographic displays, optical tweezers, optical memories, reconfigurable lenses, etc. Although nonfault devices are always used for such applications, this paper clarifies that even if a part of a MEMS-SLM is faulty, the MEMS-SLM is useful for almost all commercial products related to the applications described above. Moreover, it is useful even as research equipment with a high degree of precision. This paper therefore presents the fault-tolerance analysis results of light intensity, contrast ratio, and spot size of interference pattern generated from a MEMS-SLM to clarify the allowable deteriorations of faulty MEMS-SLMs. Moreover, we propose a recovery method that a faulty MEMS-SLM can be used as a nonfaulty MEMS-SLM by exploiting excess laser power.

    DOI: 10.1109/JLT.2015.2483622

    Web of Science

    researchmap

  • Full FPGA Game Machine Reviewed

    Takumi Fujimori, Minoru Watanabe

    2016 IEEE INTERNATIONAL CONFERENCE ON CONSUMER ELECTRONICS (ICCE)   431 - 432   2016.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Currently, many consumer game machines are based on the operations of processors. However, in the field programmable gate array (FPGA) research field, various FPGA game solvers have been developed recently. The processing speeds of such FPGA game solvers can reach about 1000 times faster than processor-based operations. This paper explains the development of one such FPGA game solvers, the Blokus Duo FPGA solver, and presents discussion of how processors on game machines can be replaced with FPGAs in future consumer game machines.

    DOI: 10.1109/ICCE.2016.7430678

    Web of Science

    researchmap

  • Reconfiguration performance recovery on optically reconfigurable gate arrays Reviewed

    Tomoya Akabe, Minoru Watanabe

    2016 29TH INTERNATIONAL CONFERENCE ON VLSI DESIGN AND 2016 15TH INTERNATIONAL CONFERENCE ON EMBEDDED SYSTEMS (VLSID)   603 - 604   2016.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, radiation-hardened optoelectronic field programmable gate arrays (FPGAs) or optically reconfigurable gate arrays (ORGAs) have been under development. We propose a method of reconfiguration performance recovery for maintaining the gate array performance of ORGAs. We have experimentally demonstrated the recovery of the ORGA configuration speed merely by exploiting excess laser power.

    DOI: 10.1109/VLSID.2016.67

    Web of Science

    researchmap

  • Formation of holographic polymer dispersed liquid crystal memory by angle-multiplexing recording for optically reconfigurable gate arrays Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    APPLIED OPTICS   54 ( 36 )   10623 - 10629   2015.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Formation of holographic polymer-dispersed liquid crystal (HPDLC) memory for an optically reconfigurable gate array is discussed for angle-multiplexing recording by controlling the laser interference exposure in LC composites. The successive laser illumination system to record the various configuration contexts at the specified region and angle in HPDLC memory is constructed by using the combination of a half-mirror and a photomask placed on the motorized stages under the control of a personal computer. The effect of laser exposure energy on the formation of holographic memory is investigated by measuring diffraction intensity as a function of exposure energy during the grating formation process and observing the internal grating structure by scanning electron microscopy. The optical reconfiguration in the gate-array VLSI is executed for configuration contexts of OR and NOR operations shown as logical operators that are reconstructed by laser irradiation at different incident angles for a specified region in the HPDLC memory. (C) 2015 Optical Society of America

    DOI: 10.1364/AO.54.010623

    Web of Science

    researchmap

  • Sustainable advantage of a parallel configuration in an optical FPGA Reviewed

    Minoru Watanabe

    2015 IEEE/SICE INTERNATIONAL SYMPOSIUM ON SYSTEM INTEGRATION (SII)   807 - 810   2015.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, static random access memory (SRAM)based field programmable gate arrays (FPGAs) are used for various systems. Since such SRAM-based FPGAs are programmable, their use over a long period must accommodate the use of a partly damaged programmable gate array. However, since current FPGAs use a serial configuration line for their configuration and the serial configuration circuit is invariably first broken circuit. Therefore, currently available FPGAs cannot accommodate the use of a partly damaged programmable gate array. However, if a partly damaged programmable gate array could be used, then the lifetime of VLSIs would be increased drastically. To extend the lifetime of programmable devices, FPGA should use a parallel configuration architecture instead of the serial configuration architecture of currently available FPGAs. This paper therefore clarifies the benefits of the parallel configuration architecture on an optically reconfigurable gate array (ORGA) VLSI using the designs of an ORGA-VLSI and a currently available serial-configuration FPGA.

    DOI: 10.1109/SII.2015.7405083

    Web of Science

    researchmap

  • Triple modular redundancy on parallel-operation-oriented optically reconfigurable gate arrays Reviewed

    Yoshizumi Ito, Minoru Watanabe

    2015 IEEE INTERNATIONAL CONFERENCE ON AEROSPACE ELECTRONICS AND REMOTE SENSING TECHNOLOGY (ICARES)   2015.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, to realize autonomous functions resembling those of humans on space systems, higher-performance embedded systems than those of current space systems are necessary for use in long-distance space missions. Currently, a parallel-operation-oriented optically reconfigurable gate array (ORGA) has been under development. The ORGA can support a nanosecond-order high-speed reconfiguration and high-density implementation of parallel operations. The parallel-operation-oriented ORGA has many programmable gate array layers, which share a common configuration context and increase the number of implementable parallel operations. Therefore, a parallel-operation-oriented ORGA is suitable for hardware acceleration of a software operation on a processor. However, in a space environment, triple modular redundancy (TMR) must be used. Single common configuration memory becomes a weak point for radiation in terms of redundancy. Therefore, we propose a TMR implementation method that is suitable for the parallel-operation-oriented ORGA.

    DOI: 10.1109/ICARES.2015.7429829

    Web of Science

    researchmap

  • Total-ionizing-dose tolerance analysis of an optically reconfigurable gate array VLSI

    Minoru Watanabe

    2015 IEEE International Conference on Aerospace Electronics and Remote Sensing Technology (ICARES)   2015.12

     More details

    Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/icares.2015.7429832

    Web of Science

    researchmap

  • FPGA Trax Solver based on a Neural Network Design Reviewed

    Takumi Fujimori, Tomoya Akabe, Yoshizumi Ito, Kouta Akagi, Shinya Furukawa, Hiroki Shinba, Aoi Tanibata, Minoru Watanabe

    2015 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE TECHNOLOGY (FPT)   260 - 263   2015.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    For research related to field programmable gate arrays (FPGAs), various FPGA game solvers have been developed recently. The FPGA game solver can achieve up to 1,000 times faster processing speeds than processor-based operations. This paper presents one development of such FPGA game solvers: an FPGA Trax Solver based on a neural network design. The speed of the FPGA Trax Solver operations implemented on an FPGA (Arria II GX; Altera Corp.) is over 60 times greater than operation of C++ based software on a personal computer (Vostro 460; Dell Inc.).

    DOI: 10.1109/FPT.2015.7393119

    Web of Science

    researchmap

  • Triple modular redundancy on parallel-operation-oriented FPGA architectures for optical communications Reviewed

    Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 4   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, high-speed space optical communication requires real-time hardware operation instead of slow software operation on a processor. For such purposes, field programmable gate arrays (FPGAS) are extremely useful. In such hardware accelerations, a software algorithm is frequently implemented onto an FPGA as a parallel operation. However, in such implementations, many regions of the configuration memory on an FPGA must have common circuit information, but the overlap on the configuration memory can be regarded as wasteful implementation. Therefore, a parallel-operation-oriented FPGA has been proposed to implement parallel operations onto an FPGA efficiently. Such a parallel-operation-oriented FPGA has numerous programmable gate array layers sharing a common configuration context so that the overlap data on the configuration memory of an FPGA can be removed perfectly. However, in space environments, triple modular redundancy must always be used to remove soft errors and the single shared configuration architecture of the parallel-operation-oriented FPGA is the opposite way of such redundancy. To meet those demands, we propose a suitable implementation method of triple modular redundancy (TMR) onto the parallel-operation-oriented FPGA architecture.

    DOI: 10.1109/ICSOS.2015.7425070

    Scopus

    researchmap

  • 100 mrad total-ionizing dose tolerance experiment of a laser array Reviewed

    Kouta Akagi, Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 3   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, lasers are being used for space optical communications. However, in a space environment, space radiation is constantly incident to lasers. Therefore, the radiation tolerance analysis of lasers is an important characteristic that must be evaluated. As described in this report, we present radiation experiment results of a semiconductor laser array. This experiment measured the radiation tolerance of the laser array using a Cobalt 60 gamma radiation source. Results show that the laser array stands up to at least 100 Mrad total ionizing dose.

    DOI: 10.1109/ICSOS.2015.7425076

    Scopus

    researchmap

  • Fresnel lens radiation shield for photodiodes Reviewed

    Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 2   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, since space satellites use image sensors and photodiode sensors, their radiation tolerance has become an important concern. In addition, some available space communication systems use a photodiode sensor for communications. However, since such sensors have an aperture without shielding, the total ionizing dose tolerance of the sensors becomes so weak that the radiation tolerance becomes lower than that of other embedded components. This paper therefore presents a proposal of a Fresnel lens radiation shield for use with photodiodes. A Fresnel lens can increase the light sensitivity and radiation tolerance of a photodiode.

    DOI: 10.1109/ICSOS.2015.7425088

    Scopus

    researchmap

  • Effect of Laser Exposure Condition on Formation of Holographic Memory by Angle-multiplexing Recording using Liquid Crystal composites Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    2015 20TH MICROOPTICS CONFERENCE (MOC)   1 - 2   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    The effect of laser exposure condition on the formation of holographic polymer-dispersed liquid crystal (HPDLC) memory by the angle-multiplexing recording is discussed. The successive laser illumination system to record the various configuration contexts at the different region and angle in HPDLC memory is constructed by controlling the laser interference exposure in liquid crystal (LC) composites and the optical equipment using the motorized stages.

    DOI: 10.1109/MOC.2015.7416433

    Web of Science

    researchmap

  • Investigating the radiation tolerance of a laser array for an optically reconfigurable gate array Reviewed

    Kouta Akagi, Minoru Watanabe

    2015 20TH MICROOPTICS CONFERENCE (MOC)   1 - 2   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize radiation-hardened field programmable gate arrays (FPGAs). An ORGA consists of a laser array, a holographic memory, and a programmable gate array VLSI. Since the configuration of an ORGA is a parallel configuration, damage to a configuration circuit or a component does not affect configuration procedures on other configuration circuits. Therefore, even if almost all look-up tables (LUTs) malfunction because of radiation, the remaining functional LUTs can be programmed and used, whereas the serial configuration of FPGAs does not allow the use of a partly failed gate array. However, to achieve higher radiation tolerance of a programmable gate array than that of an FPGA in ORGA architecture, the radiation tolerances of a laser array and a holographic memory must be sufficiently higher than that of the part of a programmable gate array VLSI. Since the radiation tolerance of a holographic memory has already been confirmed as sufficiently higher than that of a programmable gate array VLSI, this paper presents a radiation tolerance investigation of a laser array on an optically reconfigurable gate array. Experiments using Co60 gamma radiation have demonstrated that a laser array has a greater than 20 Mrad total ionizing dose tolerance.

    DOI: 10.1109/MOC.2015.7416484

    Web of Science

    researchmap

  • Total ionizing dose tolerance of the serial configuration on cyclone II FPGA Reviewed

    Hiroyuki Ito, Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 4   2015.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Recently, existing radiation-hardened static random access memory (SRAM)-based field programmable gate arrays (FPGAS) are anticipated for use in space radiation environments. Although such radiation-hardened SRAM-based FPGAS are programmable, the use of a radiation-damaged gate array has never been assessed for use with application-specific integrated circuits (ASICs) because its serial configuration is invariably damaged first by radiation so that the configuration itself becomes impossible. Therefore, currently available FPGA cannot be used in such faulty gate arrays used in space environments. However, if faulty programmable gate arrays are used, then the total ionizing dose tolerance of the programmable gate array would be increased even if its process technology were the same as that of conventional FPGAS. In this paper, in order to explore the possibility, we experimentally assessed the configuration circuit robust capabilities of a normal Cyclone II FPGA. Also, we examined the failure probability when using 1-bit, 2-bit, 4-bit, and 8-bit buses for the FPGA configuration using the designs of serially configured FPGAS.

    DOI: 10.1109/ICSOS.2015.7425067

    Scopus

    researchmap

  • Radiation-hardened optically reconfigurable gate array exploiting holographic memory characteristics Reviewed

    Daisaku Seto, Minoru Watanabe

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 9 )   09MA06-1 - 09MA06-5   2015.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IOP PUBLISHING LTD  

    In this paper, we present a proposal for a radiation-hardened optically reconfigurable gate array (ORGA). The ORGA is a type of field programmable gate array (FPGA). The ORGA configuration can be executed by the exploitation of holographic memory characteristics even if 20% of the configuration data are damaged. Moreover, the optoelectronic technology enables the high-speed reconfiguration of the programmable gate array. Such a high-speed reconfiguration can increase the radiation tolerance of its programmable gate array to 9.3 x 10(4) times higher than that of current FPGAs. Through experimentation, this study clarified the configuration dependability using the impulse-noise emulation and highspeed configuration capabilities of the ORGA with corrupt configuration contexts. Moreover, the radiation tolerance of the programmable gate array was confirmed theoretically through probabilistic calculation. (C) 2015 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.54.09MA06

    Web of Science

    researchmap

  • Formation of holographic memory by angle-multiplexing recording in liquid crystal composites Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    2015 11th Conference on Lasers and Electro-Optics Pacific Rim, CLEO-PR 2015   3   1 - 2   2015.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    Formation of holographic polymer-dispersed liquid crystal (HPDLC) memory for an optically reconfigurable gate array based on the angle-multiplexing recording is discussed by controlling the laser interference exposure in liquid crystal (LC) composites. The successive laser illumination system to record the various configuration contexts at the different region and angle in HPDLC memory is constructed by using the half mirror and photomask placed on the motorized stages under the control of a personal computer (PC). The effect of laser exposure energy on the formation of holographic memory is investigated by the measurement of diffraction intensity during the grating formation process. The reconstruction of configuration contexts for the various logical circuits is demonstrated by the laser illumination at different incident angle in the HPDLC memory.

    DOI: 10.1109/CLEOPR.2015.7376567

    Web of Science

    Scopus

    researchmap

  • Holographic scrubbing technique for a programmable gate array Reviewed

    Minoru Watanabe, Takumi Fujimori

    2015 NASA/ESA CONFERENCE ON ADAPTIVE HARDWARE AND SYSTEMS (AHS)   1 - 5   2015.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, field programmable gate arrays (FPGAs), which have become widely used for embedded systems, have also become anticipated for use in high-radiation environments such as space environments and nuclear power plants. Currently available radiation-hardened FPGAs can support scrubbing techniques, but such techniques entail the difficulty that radiation tolerance is insufficient for high-radiation environments. This paper therefore presents a holographic scrubbing technique for a programmable gate array. The scrubbing period can be less than 10 ns, so the robust ability of programmable gate arrays can be increased drastically. This paper presents theoretical analysis results and a demonstration of high-speed scrubbing on an optically reconfigurable gate array.

    DOI: 10.1109/AHS.2015.7231161

    Web of Science

    researchmap

  • Design of a parallel-operation-oriented FPGA Reviewed

    Minoru Watanabe

    2015 International Symposium on Next-Generation Electronics (ISNE)   1 - 4   2015.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, studies of acceleration of software operations on a processor have been executed aggressively using Field Programmable Gate Arrays (FPGAs). However, currently available FPGA architecture presents waste under a parallel operation in terms of configuration memory because the same configuration context corresponding to same-function modules must be programmed onto numerous parts of configuration memory. This paper therefore presents a proposal for a parallel-operation-oriented FPGA architecture including a shared common configuration memory. In this research, a parallel-operation-oriented FPGA with four programmable gate arrays sharing a common configuration memory has been designed using a 0.18 mu m CMOS process technology. The advantage of the parallel-operation-oriented FPGA is clarified and a design technique to achieve a high-performance parallel-operation-oriented FPGA is discussed.

    DOI: 10.1109/ISNE.2015.7132021

    Web of Science

    researchmap

  • Radiation-hardened Optically Reconfigurable Gate Array Using a Negative Logic Configuration without a Dedicated VLSI Reviewed

    T. Fujimori, M. Watanabe

    24th Annual Single Event Effects (SEE) Symposium   2015.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • High-resolution configuration of optically reconfigurable gate arrays Reviewed

    Kouta Akagi, Minoru Watanabe

    2015 International Symposium on Next-Generation Electronics (ISNE)   1 - 4   2015.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs) have been developed to offer numerous reconfiguration contexts with high-speed dynamic reconfiguration. Such ORGAs consist of a holographic memory, a laser array, and optically reconfigurable gate array VLSI. The storage capacity of a three-dimensional holographic memory is much higher than that of current two-dimensional memory technologies. Therefore, even Tera-gate-count circuit information can be stored on such a holographic memory. Moreover, high-speed reconfiguration can be realized by exploiting two-dimensional free optical connections between a holographic memory and a photodiode array on an optically reconfigurable gate array VLSI. Such high-speed dynamic reconfiguration can increase the gate array performance. Currently, we are trying to develop a high-density optically reconfigurable gate array VLSI. An important bottleneck is the limitation of light diffraction. This report presents a method of increasing the resolution of diffraction light for realizing a high-density optically reconfigurable gate array

    DOI: 10.1109/ISNE.2015.7131967

    Web of Science

    researchmap

  • Radiation tolerance experiment for a dynamically reconfigurable vision architecture, Reviewed

    M. Watanabe, S. Kawahito

    International Journal of Image Processing Techniques   2 ( 1 )   59 - 62   2015.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    researchmap

  • Total ionizing dose effects of optical components on an optically reconfigurable gate array Reviewed

    Retsu Moriwaki, Hiroyuki Ito, Kouta Akagi, Minoru Watanabe, Akifumi Ogiwara

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   9040   393 - 400   2015.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Springer Verlag  

    Recently, optically reconfigurable gate arrays (ORGAs) have been developed as radiation-hardened field programmable gate arrays (FPGAs) to realize a 10 Mrad total ionizing dose-tolerance. Specifically, ORGAs offer important benefits of high-speed reconfiguration, numerous reconfiguration contexts, and robust configuration. These three factors are important to remove the soft-error of a configuration circuit on a programmable gate array and to increase the total ionizing dose tolerance. Although the robust configuration capability has been confirmed using radiation emulation, no actual radiation experiment has been reported to date in the relevant literature. This paper therefore presents experiments demonstrating the enhanced radiation tolerance of an optically reconfigurable gate array using a cobalt-60 gamma radiation source.

    DOI: 10.1007/978-3-319-16214-0_35

    Scopus

    researchmap

  • Parallel-Operation-Oriented Optically Reconfigurable Gate Array Reviewed

    Takumi Fujimori, Minoru Watanabe

    ARCHITECTURE OF COMPUTING SYSTEMS - ARCS 2015   9017   3 - 14   2015.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPRINGER-VERLAG BERLIN  

    Recently, studies exploring acceleration of software operations on a processor have been undertaken aggressively using field programmable gate arrays (FPGAs). However, currently available FPGA architectures present waste occurring with parallel operation in terms of configuration memory because the same configuration context corresponding to same-function modules must be programmed onto numerous configuration memory parts. Therefore, a parallel-operation-oriented FPGA with a single shared configuration memory for some programmable gate arrays has been proposed. Here, the architecture is applied for optically reconfigurable gate arrays (ORGA). To date, the ORGA architecture has demonstrated that a high-speed dynamic reconfiguration capability can increase the performance of its programmable gate array drastically. Software operations can be accelerated using an ORGA. This paper therefore presents a proposal for combinational architecture of the parallel-operation oriented FPGA architecture and a high-speed reconfiguration ORGA. The architecture is called a parallel-operation-oriented ORGA architecture. For this study, a parallel-operation-oriented ORGA with four programmable gate arrays sharing a common configuration photodiode-array has been designed using 0.18 mu m CMOS process technology. This study clarified the benefits of the parallel-operation-oriented ORGA in comparison with an FPGA having the same gate array structure, produced using the same process technology.

    DOI: 10.1007/978-3-319-16086-3_1

    Web of Science

    researchmap

  • Radiation tolerance of optically reconfigurable gate arrays

    R. Moriwaki, H. Ito, M. Watanabe, A. Ogiwara, H. Maekawa

    International Symposium Toward the Future of Advanced Researches in Shizuoka University   2015.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optically Reconfigurable Gate Array Prototype System

    M. Seo, M. Watanabe

    The 1st RIS-MJIIT Workshop on Renewable and Sustainable Integrated Systems   2015

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Radiation tolerance experiment for a dynamically reconfigurable vision architecture Reviewed

    M. Watanabe, S. Kawahito

    International Conference on Advances in Computing, Electronics and Electrical Technology   1 - 4   2014.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Dependable Optically Reconfigurable Gate Array Architecture

    Minoru Watanabe

    International Symposium on Optical Memory   210 - 211   2014.10

     More details

    Language:English  

    researchmap

  • Image recognition system using an optical Fourier transform on a dynamically reconfigurable vision architecture Reviewed

    Yuki Kamikubo, Minoru Watanabe, Shoji Kawahito

    2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)   1528 - 1531   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, several varieties of image recognition systems using Fourier transform have been proposed. The salient benefit of using a Fourier transform is its position-independent image recognition capability. However, the operation of Fourier transform of high-resolution images is an extremely heavy operation. This paper therefore presents a proposal of image recognition systems using an optical Fourier transform on a dynamically reconfigurable vision architecture. This proposed system can recognize numerous images within an extremely short period using dynamic reconfiguration.

    DOI: 10.1109/ISCAS.2014.6865438

    Web of Science

    researchmap

  • A parallel-operation-oriented FPGA architecture Reviewed

    M. Watanabe

    International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies   123 - 126   2014.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optically Reconfigurable Gate Array with an Angle-Multiplexed Holographic Memory Reviewed

    Retsu Moriwaki, Hikaru Maekawa, Akifumi Ogiwara, Minoru Watanabe

    GLSVLSI'14: PROCEEDINGS OF THE 2014 GREAT LAKES SYMPOSIUM ON VLSI   341 - 346   2014.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:ASSOC COMPUTING MACHINERY  

    Optically reconfigurable gate arrays (ORGAs) have been developed to achieve a high-performance FPGA with numerous configuration contexts. In the architecture, an optical memory technology or a holographic memory technology has been introduced so that the architecture can have numerous configuration contexts and high-speed reconfiguration capability. Results show that the architecture can achieve a large virtual gate count that is much larger than those of currently available VLSIs. To date, ORGAs with a spatially multiplex holographic memory have been reported. However, the spatially multiplexed holographic memory can only have a small number of configuration contexts, which are limited to about 256 configuration contexts. To implement more than a million configuration contexts, an angle-multiplex holographic memory must be used. However, no ORGA with an angle multiplex holographic memory that can sufficiently exploit the huge storage capacity of a holographic memory has ever been reported. Therefore, this paper presents a proposal of a novel ORGA with an angle-multiplexed holographic memory. The architecture can open the possibility of providing a million configuration contexts for a multi-context FPGA.

    DOI: 10.1145/2591513.2591597

    Web of Science

    researchmap

  • Radiation tolerance of color configuration on an optically reconfigurable gate array Reviewed

    Takumi Fujimori, Minoru Watanabe

    PROCEEDINGS OF 2014 IEEE INTERNATIONAL PARALLEL & DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS (IPDPSW)   205 - 210   2014.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Currently, research of optically reconfigurable gate arrays (ORGAs), a type of multi-context field programmable gate arrays (FPGAs), has progressed rapidly. ORGAs offer important benefits of high-speed reconfiguration, numerous reconfiguration contexts, and robust configuration. Such ORGAs always consist of a single-wavelength laser array to address configuration contexts. However, for this architecture, concerns related to its package size often arise. The laser array is large because of the large space between lasers. For that reason, the ORGA also tends to be large. Therefore, we have introduced some wavelength lasers inside a laser array of an ORGA to decrease the laser array size. Results show that the ORGA package can be smaller. However, the dependability of color configuration has never been discussed up to now. This paper therefore presents a demonstration of the radiation tolerance of color configuration on an optically reconfigurable gate array.

    DOI: 10.1109/IPDPSW.2014.27

    Web of Science

    researchmap

  • Dependable optically differential reconfigurable gate array Reviewed

    M. Seo, M. Watanabe

    International Conference on Space Optical Systems and Applications, CD-ROM (6 pages)   2014.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Enhanced radiation tolerance of an optically reconfigurable gate array by exploiting an inversion/non-inversion implementation Reviewed

    Takashi Yoza, Minoru Watanabe

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   8405   156 - 166   2014.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Springer Verlag  

    To date, optically reconfigurable gate arrays (ORGAs) have been developed to realize highly dependable embedded systems. ORGAs present many beneficial capabilities beyond those of field programmable gate arrays (FPGAs): The most important is that an ORGA can be reconfigured using an error-included configuration context that has been damaged by high-energy charged particles. The radiation tolerance of an ORGA is extremely high. Moreover, if an inversion/ non-inversion implementation architecture is introduced to an ORGA, the configuration dependability of the ORGA for radiation can be increased drastically. This paper therefore presents a demonstration of the enhanced radiation tolerance of an optically reconfigurable gate array achieved by exploiting the inversion/ non-inversion implementation. © 2014 Springer International Publishing Switzerland.

    DOI: 10.1007/978-3-319-05960-0_14

    Scopus

    researchmap

  • A high-density optically reconfigurable gate array VLSI using variable holographic memory patterns Reviewed

    K. Akagi, M. Watanabe

    International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems   2014.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Formation of holographic memory for optically reconfigurable gate array by angle-multiplexing recording of multi-circuit information in liquid crystal composites

    Akifumi Ogiwara, Hikaru Maekawa, Minoru Watanabe, Retsu Moriwaki

    Emerging Liquid Crystal Technologies IX   9004   90040M-1   2014.2

     More details

    Publishing type:Research paper (international conference proceedings)   Publisher:SPIE  

    DOI: 10.1117/12.2038024

    Web of Science

    researchmap

  • Mono-instruction set computer architecture on a 3D optically reconfigurable gate array Reviewed

    Hiroyuki Ito, Minoru Watanabe

    2013 IEEE ELECTRICAL DESIGN OF ADVANCED PACKAGING AND SYSTEMS SYMPOSIUM (EDAPS)   173 - 176   2013.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Currently, three-dimensional VLSI technologies are being developed. However, by increasing the number of layers of TSV or stacking layers, the production difficulty of VLSI is increased. Therefore, optically reconfigurable gate arrays (ORGAs) have been developed to realize high-speed dynamic reconfiguration. The ORGA consists of a holographic memory, a programmable gate array, and a laser array. An ORGA can store large amounts of circuit information inside a holographic memory. The circuit information can be programmed dynamically onto an ORGA's programmable gate array in nanosecondorder. The ORGA allows high-speed dynamic reconfiguration. If the high-speed dynamic reconfiguration can be used for the implementation of processors, then the processor performance can be increased. The implementation technique is called a mono-instruction set computer (MISC) architecture. This paper presents a demonstration result of a high-performance MISC architecture that fully exploits the high-speed programmability of an ORGA.

    DOI: 10.1109/EDAPS.2013.6724417

    Web of Science

    researchmap

  • Many-module redundancy implementation of mono instruction set computers for 3D optical FPGAs Reviewed

    Yuya Shirahashi, Minoru Watanabe

    2013 IEEE ELECTRICAL DESIGN OF ADVANCED PACKAGING AND SYSTEMS SYMPOSIUM (EDAPS)   169 - 172   2013.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Demand for programmable devices for space applications is increasing day-by-day to support hardware repair functions, hardware update functions, and hardware acceleration for space systems. An optically reconfigurable gate array (ORGA) has been developed as a robust multi-context field programmable gate array that is quite suitable for such space applications. The ORGA can be reconfigured using corrupt configuration data with nanosecond-order reconfiguration speed. Currently, we also propose a mono-instruction set computer architecture exploiting the high-speed dynamic reconfiguration. Using the mono-instruction set computer architecture, many-module redundancy over triple module redundancy (TMR) can be realized. This paper presents one demonstration result of a mono-instruction set computer and its robust capabilities.

    DOI: 10.1109/EDAPS.2013.6724416

    Web of Science

    researchmap

  • Color configuration method for an optically reconfigurable gate array Reviewed

    Takumi Fujimori, Minoru Watanabe

    PROCEEDINGS OF THE 2013 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT)   406 - 409   2013.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This paper presents a proposal of a color configuration method for an optically reconfigurable gate array (ORGA). A conventional ORGA consists of a single-wavelength laser array to address configuration contexts. However, the new ORGA has lasers of some other wavelength inside a laser array. Consequently, the addressable number of configuration contexts can be increased.

    DOI: 10.1109/FPT.2013.6718400

    Web of Science

    researchmap

  • FPGA Blokus Duo Solver using a massively parallel architecture Reviewed

    Takashi Yoza, Retsu Moriwaki, Yuki Torigai, Yuki Kamikubo, Takayuki Kubota, Takahiro Watanabe, Takumi Fujimori, Hiroyuki Ito, Masato Seo, Kouta Akagi, Yuichiro Yamaji, Minoru Watanabe

    PROCEEDINGS OF THE 2013 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT)   494 - 497   2013.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, many game programs have been developed aggressively as hardware on field programmable gate arrays (FPGAs) because of the extremely large solution space of such games as the Connect6 game, Blokus Duo game, and others so that the computational capabilities of computers are currently insufficient to search all possible solutions. This report describes an FPGA acceleration experiment for the Blokus Duo game. The FPGA Blokus Duo Solver was implemented on an Arria II GX FPGA (Altera Corp.). Its operation speed is 25 times faster than C++ based software operation of the same algorithm on a Core i7 processor.

    DOI: 10.1109/FPT.2013.6718426

    Web of Science

    researchmap

  • A dynamic optically reconfigurable gate array using a blue laser Reviewed

    Takayuki Kubota, Minoru Watanabe

    4th International Conference on Photonics, ICP 2013 - Conference Proceeding   129 - 131   2013.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Recently, optically reconfigurable gate arrays (ORGAs), which can support a high-speed dynamic reconfiguration with numerous reconfiguration contexts, have been developed. Although an ORGA is a three-dimensional VLSI, no through-silicon via (TSV) technology or any micro-bump technology is never necessary to produce an ORGA. A three-dimensional ORGA uses only free-optical connections and a volume-type holographic memory technology. Therefore, the yield ratio of ORGAs is so high that ORGAs can easily be produced with no concern related to production variation. In this study, to increase the gate density, a short wavelength laser of 404 nm is applied to ORGA architecture. This paper presents the reconfiguration capabilities of the reconfiguration period and retention time of the photodiode memory architecture of a newly fabricated ORGA-VLSI. © 2013 IEEE.

    DOI: 10.1109/ICP.2013.6687090

    Scopus

    researchmap

  • Angle-multiplexing recording of multi-context for optically reconfigurable gate array in holographic memory using liquid crystal composites Reviewed

    Akifumi Ogiwara, Hikaru Maekawa, Minoru Watanabe, Retsu Moriwaki

    2013 18TH MICROOPTICS CONFERENCE (MOC)   2013.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A holographic polymer-dispersed liquid crystal (HPDLC) memory to record multi-context information for an optically reconfigurable gate array is formed by the angle-multiplexing recording using successive laser exposures in liquid crystal (LC) composites. The laser illumination system is constructed using the half mirror and photomask written by the different configuration contexts placed on the motorized stages under the control of a personal computer. The configuration contexts corresponding to the various logical circuits are reconstructed by the laser illumination at different incident angle in the HPDLC memory.

    Web of Science

    researchmap

  • Temperature dependence of anisotropic diffraction in holographic polymer-dispersed liquid crystal memory Reviewed

    Akifumi Ogiwara, Minoru Watanabe, Retsu Moriwaki

    APPLIED OPTICS   52 ( 26 )   6529 - 6536   2013.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Grating devices using photosensitive organic materials play an important role in the development of optical and optoelectronic systems. High diffraction efficiency and polarization dependence achieved in a holographic polymer-dispersed liquid crystal (HPDLC) grating are expected to provide polarization-controllable optical devices, such as a holographic memory for optically reconfigurable gate arrays (ORGAs). However, the optical property is affected by the thermal modulation around the transition temperature (T-ni) where the liquid crystal (LC) changes from nematic to isotropic phases. The temperature dependence of the diffraction efficiency in HPDLC grating is investigated using four types of LC composites comprised of LCs and monomers having different physical properties such as T-ni and anisotropic refractive indices. The holographic memory formed by the LC with low anisotropic refractive index and LC diacrylate monomer implements optical reconfiguration for ORGAs at a high temperature beyond T-ni of LC. (c) 2013 Optical Society of America

    DOI: 10.1364/AO.52.006529

    Web of Science

    researchmap

  • IMAGE RECOGNITION OPERATION ON A DYNAMICALLY RECONFIGURABLE VISION ARCHITECTURE Reviewed

    Yuki Kamikubo, Minoru Watanabe, Shoji Kawahito

    2013 23RD INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (FPL 2013) PROCEEDINGS   1 - 4   2013.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, for use in autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. However, to recognize numerous images quickly, such systems require many template images to be read out dynamically from memory. They must then be sent to a processor quickly. Achieving such high-speed real-time image recognition operation is difficult because of the bottleneck of the transfer between the memory and the processor. To alleviate that bottleneck, a dynamically reconfigurable vision architecture was proposed. This paper presents 16-gray scale image recognition operation of the proposed architecture.

    DOI: 10.1109/FPL.2013.6645603

    Web of Science

    researchmap

  • A fine-grained dependable optically reconfigurable gate array as a multi-soft-core processor platform Reviewed

    Retsu Moriwaki, Minoru Watanabe

    Proceedings - IEEE 7th International Symposium on Embedded Multicore/Manycore System-on-Chip, MCSoC 2013   7 - 12   2013.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE Computer Society  

    Optically reconfigurable gate arrays (ORGAs) have been developed as high-speed reconfigurable fine grained gate arrays that can accommodate implementation of a multi-soft-core processor. An ORGA's programmable gate array can be reconfigured in nanosecond-order with one of more than 256 reconfiguration contexts. Its high-speed dynamic reconfiguration capability is suitable for dynamically changing the functions of a multi-core processor. In addition to that beneficial feature, ORGAs have high dependability against space radiation since the ORGA can be reconfigured with an error-included configuration context. In this paper, while maintaining its highly dependable capability, a more advanced dependability-increasing technique using a negative logic implementation is proposed. The dependability of data on a holographic memory depends on the number of bright bits or the binary state H included in a configuration context. If the number of bright bits in a configuration context can be decreased, then the configuration dependability can also be increased. The proposed optical configuration dependability-increasing technique of a negative logic implementation can decrease the number of bright bits in a configuration context. This technique can raise the ORGA's configuration dependability level even further. This paper describes an experimental demonstration of the usefulness of the proposed technique. © 2013 IEEE.

    DOI: 10.1109/MCSoC.2013.33

    Scopus

    researchmap

  • Fourier transformation on an optically reconfigurable gate array Reviewed

    Hiroyuki Ito, Minoru Watanabe

    2013 IEEE 56TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS)   193 - 196   2013.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    To date, optically reconfigurable gate arrays (OR-GAs) consisting of a holographic memory, a laser array, and an optically reconfigurable gate array VLSI have been developed to realize fast reconfiguration and numerous reconfiguration contexts. Numerous configuration contexts can be stored on a holographic memory and can be programmed dynamically onto a gate array in a nanosecond-order period. Such highspeed dynamic reconfiguration enables a large virtual gate over a physical gate, for example a 1 Tera-gate VLSI. However, in addition to the advantages, the ORGA architecture allows high-speed optical Fourier transformation by addition of a programmable Fresnel lens. This paper presents a proposal of a new ORGA architecture to support Fourier transformation and results of its demonstration.

    DOI: 10.1109/MWSCAS.2013.6674618

    Web of Science

    researchmap

  • Configuration on an optically reconfigurable gate array under the maximum 120°C temperature condition Reviewed

    R. Moriwaki, M. Watanabe, A. Ogiwara

    OptoElectronics and Communications Conference   1 - 2   2013.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A 7-depth search FPGA Connect6 Solver Reviewed

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, A. Tanigawa, T. Kubota, H. Ito, Y. Shirahashi, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   95 - 98   2013.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Four-configuration-context optically reconfigurable gate array with a MEMS interleaving method Reviewed

    Yuichiro Yamaji, Minoru Watanabe

    Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2013   172 - 177   2013.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) with higher radiation tolerance than that of a Field Programmable Gate Array (FPGA). The ORGA configuration is extremely robust against radiation. Moreover, that radiation tolerance of ORGA's programmable gate array can be increased by exploiting the advantageous high-speed dynamic reconfiguration of an ORGA. Although an ORGA has such a radiation-tolerance benefit, a lot of lasers that are necessary for addressing a holographic memory present concerns related to cost. Therefore, MEMS technology was introduced to reduce the number of lasers. Using a novel interleaving method, the reconfiguration speed is maintained as 10 ns: 1000 lasers and 1,000,000 MEMS mirrors can address one million configuration contexts. This paper presents a proposal of more advanced technique by which one mirror can address four configuration contexts by controlling the mirror angle. Although MEMS mirrors are not expensive, the proposed method contributes to the miniaturization of an ORGA package and to weight reduction. © 2013 IEEE.

    DOI: 10.1109/AHS.2013.6604242

    Scopus

    researchmap

  • A dependability-increasing demonstration for a 16-configuration context optically reconfigurable gate array Reviewed

    A. Tanigawa, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   129 - 132   2013.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Formation of holographic memory by recording of multi-context in liquid crystal composites

    Akifumi Ogiwara, Hikaru Maekawa, Minoru Watanabe, Retsu Moriwaki

    2013 Conference on Lasers and Electro-Optics Pacific Rim (CLEOPR)   1 - 2   2013.6

     More details

    Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/cleopr.2013.6600439

    researchmap

  • Dependability-increasing technique for a multi-context optically reconfigurable gate array Reviewed

    Akira Tanigawa, Minoru Watanabe

    2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)   1568 - 1571   2013.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array. An ORGA's programmable gate array with more than 100 reconfiguration contexts can be reconfigured in nanosecondorder. In addition to that beneficial feature, ORGAs can be reconfigured with invalid configuration data that have been damaged by high-energy charged particles in a radiation-rich space environment. Even if 35 % or 5,915 pixels of holographic memory data are damaged by high-energy charged particles, the ORGA can execute configuration operations correctly. Therefore, ORGAs are sufficiently robust devices for use in spaceradiation environments. This paper presents a proposal of a more advanced dependability-increasing technique on a multicontext ORGA. This technique can raise the ORGA's level of configuration dependability even further by exploiting multicontext implementation.

    DOI: 10.1109/ISCAS.2013.6572159

    Web of Science

    researchmap

  • 0.18 μm CMOS process photodiode memory Reviewed

    Takayuki Kubota, Minoru Watanabe

    Proceedings - IEEE International Symposium on Circuits and Systems   1464 - 1467   2013.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Currently, demand for high-speed dynamic reconfiguration of a programmable device is increasing for raising the performance level of such devices. To support high-speed dynamic reconfiguration, optically reconfigurable gate arrays (ORGAs) have been developed to date. An ORGA consists of a holographic memory, a laser array, and an optically reconfigurable gate array VLSI. The holographic memory can store many configuration contexts. Moreover, its large-bandwidth optical connection enables high-speed reconfiguration. Nevertheless, in previously proposed ORGA-VLSIs, the static configuration memory to store a single configuration context consumed a large implementation area of the ORGA-VLSIs and prevented the realization of large-gate-count ORGA-VLSIs. Therefore, a 0.18 μιη CMOS process photodiode memory has been newly fabricated to increase the gate density of ORGAs. The photodiode memory uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. © 2013 IEEE.

    DOI: 10.1109/ISCAS.2013.6572133

    Scopus

    researchmap

  • MEMS interleaving method for optically reconfigurable gate arrays Reviewed

    Y. Yamaji, M. Watanabe

    IEEE International Conference on Electro/Information Technology   172 - 177   2013.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Formation of temperature dependable holographic memory using holographic polymer-dispersed liquid crystal Reviewed

    Akifumi Ogiwara, Minoru Watanabe, Retsu Moriwaki

    Optics Letters   38 ( 7 )   1158 - 1160   2013.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    Grating devices using photosensitive organic materials play an important role in the development of optical and optoelectronic systems. High diffraction efficiency and polarization dependence achieved in a holographic polymerdispersed liquid crystal (HPDLC) grating are expected to provide polarization controllable optical devices, such as the holographic memory for optically reconfigurable gate arrays (ORGAs). However, the optical property is affected by the thermal modulation around the transition temperature (T ni) that the liquid crystal (LC) changes from nematic to isotropic phases. The temperature dependence of the diffraction efficiency in HPDLC grating is discussed with two types of LC composites comprised of isotropic and LC diacrylate monomers. The holographic memory formed by the LC and LC diacrylate monomer performs precise reconstruction of the context information for ORGAs at high temperatures more than 150°C. © 2013 Optical Society of America.

    DOI: 10.1364/OL.38.001158

    Scopus

    researchmap

  • Power consumption of mono-instruction set computers (MISCs) Reviewed

    H. Ito, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   2013.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optical configuration acceleration on a new optically reconfigurable gate array VLSI using a negative logic implementation Reviewed

    Retsu Moriwaki, Minoru Watanabe

    Applied Optics   52 ( 9 )   1939 - 1946   2013.3

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OSA - The Optical Society  

    This paper presents a proposal of an optical configuration acceleration method applied to optically reconfigurable gate arrays (ORGAs) using a negative logic implementation. The gate array of an ORGA is reconfigured using a holographic memory. The reading time of a holographic memory depends on the number of bright bits included in a configuration context. The proposed optical configuration acceleration method can decrease the number of bright bits. As a result, the proposed optical configuration acceleration method can increase the reconfiguration frequency. In this paper, a fabricated ORGA very large scale integration that can support the optical configuration acceleration method is estimated. Consequently, this paper shows that the reconfiguration frequency of the proposed method is 1.97 times higher than those of conventional ORGA architectures with no increase of laser power. © 2013 Optical Society of America.

    DOI: 10.1364/AO.52.001939

    Scopus

    researchmap

  • Dependability-increasing method of processors under a space radiation environment Reviewed

    Yuya Shirahashi, Minoru Watanabe

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   7806   218   2013.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Optically reconfigurable gate arrays (ORGAs) can be reconfigured using error-inclusive configuration contexts under a radiation-rich space environment. Therefore, the ORGA presents an important benefit: the allowable amount of configuration data damage is greater than that by field programmable gate arrays (FPGAs) with error-checking and correction. However, the ORGA's programmable gate array itself is never as robust against space radiation as that of an application-specific integrated circuit (ASIC) because the programmable architecture of its gate array is the same as that of FPGAs. Therefore, to achieve a drastic increase in the robust capability of a fine-grained programmable gate array on an ORGA-VLSI, this paper presents a proposal of a novel dynamic module multiple redundancy scheme based on a mono-instruction set computer architecture exploiting high-speed dynamic reconfiguration. © 2013 Springer-Verlag.

    DOI: 10.1007/978-3-642-36812-7_21

    Scopus

    researchmap

  • Temperature Dependable Holographic Memory Using Holographic Polymer-dispersed Liquid Crystal Reviewed

    A. Ogiwara, M. Watanabe, R. Moriwaki

    Progress In Electromagnetics Research Symposium   322 - 325   2013.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A 9-configuration-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory

    R. Moriwaki, M. Watanabe, A. Ogiwara

    Takayanagi Kenjiro Memorial Symposium   112 ( 325 )   S3_10_1 - S3_10_4   2012.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of dynamically reconfigurable gate array that can achieve a high-speed reconfiguration and numerous reconfiguration contexts. However, in the ORGAs, many laser sources are necessary to address the numerous configuration contexts. Therefore, as a reduction method of the number of lasers, an ORGA using a polymer-dispersed liquid crystal holographic memory has been proposed. This paper presents a more advanced 9-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • A uniform partitioning method for mono-instruction set computer (MISC) Reviewed

    Hiroyuki Ito, Minoru Watanabe

    Proceedings of the 2012 15th International Conference on Network-Based Information Systems, NBIS 2012   832 - 837   2012.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    As gates in field programmable gate arrays (FPGAs) become usable in ever-increasing numbers, FPGAs are becoming more widely applied in various embedded systems. FPGAs have been demonstrated as useful renewable devices. Recently however, a hard-core processor inside an FPGA is frequently used for high-performance systems so that the implementation of the hard-core processor decreases the possibility of a specification change and reuse of its FPGA. Therefore, demand for implementing a soft-core processor onto an FPGA is gaining. In response to that demand, FPGA vendors have provided soft-core processors for FPGAs, but those processors invariably provide lower performance than hard-core processors do. Therefore, this paper presents high-performance mono-instruction set computer (MISC) architecture that fully exploits the programmability of a dynamically reconfigurable fine-grained gate array. In addition, this paper presents a proposal of a uniform partitioning method for the MISC architecture. © 2012 IEEE.

    DOI: 10.1109/NBiS.2012.107

    Scopus

    researchmap

  • A 256-configuration-context MEMS optically reconfigurable gate array Reviewed

    Y. Yamaji, M. Watanabe

    International Conference on Solid State Devices and Materials   232 - 233   2012.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Inversion/non-inversion reconfiguration scheme for a 0.18 μm CMOS process optically reconfigurable gate array VLSI Reviewed

    Takahiro Watanabe, Minoru Watanabe

    2012 IEEE 55TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS)   117 - 120   2012.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    To date, various optically reconfigurable gate arrays (ORGAs) have been developed to realize both fast reconfiguration and numerous reconfiguration contexts. Optically differential reconfigurable gate arrays (ODRGAs) present advantageous capabilities compared with other ORGAs: they have increased the reconfiguration frequency per unit of laser power and have reduced optical configuration power consumption. On the other hand, dynamic optically reconfigurable gate arrays (DORGA) can realize the highest gate density, but an important disadvantage of DORGAs is that their reconfiguration frequency is lower than that of ODRGAs and their optical configuration power consumption is greater than that of ODRGAs. Therefore, a novel inversion/non-inversion dynamic optically reconfigurable gate array has been developed, adopting only the best attributes from both architectures. This paper presents an inversion/non-inversion implementation for a newly fabricated 0.18 mu m CMOS process optically reconfigurable gate array VLSI.

    DOI: 10.1109/MWSCAS.2012.6291971

    Web of Science

    researchmap

  • 0.18 μm CMOS Process highly sensitive differential optically reconfigurable gate array VLSI Reviewed

    Takahiro Watanabe, Minoru Watanabe

    2012 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI)   308 - 313   2012.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Currently, demand is increasing for high-speed dynamic reconfiguration on programmable devices to improve their performance. To support high-speed dynamic reconfiguration, optically reconfigurable gate arrays (ORGAs) have been undergoing rapid development. Moreover, to more increase the reconfiguration speed, optically differential reconfigurable gate arrays (ODRGAs) incorporating a differential reconfiguration method between configuration contexts have been developed. An ODRGA comprises a holographic memory, a laser array, and an optically reconfigurable gate array VLSI. The holographic memory can store many configuration contexts. Its large-bandwidth optical connection enables high-speed reconfiguration. However, photodiode sensitivities of conventional ODRGAs are not good. This paper therefore presents a newly fabricated 0.18 mu m CMOS process optically differential reconfigurable gate array VLSI chip with highly sensitive photo-circuits.

    DOI: 10.1109/ISVLSI.2012.71

    Web of Science

    researchmap

  • A 16-configuration-context robust optically reconfigurable gate array with a reconfiguration speed adjustment function Reviewed

    Takashi Yoza, Minoru Watanabe

    Proceedings - 22nd International Conference on Field Programmable Logic and Applications, FPL 2012   361 - 366   2012.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Demand is increasing daily for a robust VLSI chip that is useful in a radiation-rich environment. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a radiation-tolerant Field Programmable Gate Array (FPGA). The ORGA architecture is extremely robust against multi-event upsets. Moreover, it can recover from permanent errors resulting from a heavy total radiation dose. This paper presents demonstration results of a 16-configuration-context robust optically reconfigurable gate array with a reconfiguration speed-adjustment function. © 2012 IEEE.

    DOI: 10.1109/FPL.2012.6339205

    Scopus

    researchmap

  • Optical reconfiguration by anisotropic diffraction in holographic polymer-dispersed liquid crystal memory Reviewed

    Akifumi Ogiwara, Minoru Watanabe

    APPLIED OPTICS   51 ( 21 )   5168 - 5177   2012.7

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Holographic polymer dispersed liquid crystal (HPDLC) memory is fabricated by a photoinduced phase separation comprised of polymer and liquid crystal (LC) phases using laser light interference exposures. The anisotropic diffraction induced by the alignment of LC in the periodic structure of the HPDLC memory is applied to reconstruct the configuration contexts for the optically reconfigurable gate arrays. Optical reconfiguration for various circuits under parallel programmability is implemented by switching the polarization state of incident light on the HPDLC memory using a spatial light modulator. (C) 2012 Optical Society of America

    DOI: 10.1364/AO.51.005168

    Web of Science

    researchmap

  • Methods for detection and compensation of alignment errors occurring between a programmable optically reconfigurable gate array and its writer system Reviewed

    Shinya Kubota, Minoru Watanabe

    PROCEEDINGS OF THE 2012 IEEE NATIONAL AEROSPACE AND ELECTRONICS CONFERENCE (NAECON)   182 - 185   2012.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs) consisting of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSls. Consequently, ORGAs with more than tera-gate capacity will be realized by exploiting the storage capacity of a holographic memory. However, in contrast to current field-programmable gate arrays (FPGAs), conventional ORGAs have an important shortcoming: alignment errors arise when a programmable ORGA is recorded with a writer system. When programming a programmable ORGA along with alignment errors between the programmable ORGA and its writer system, the reconfiguration speed of the programmable ORGA is decreased. This paper therefore presents a detection and compensation method of alignment errors between a programmable ORGA and a writer system to alleviate that shortcoming.

    DOI: 10.1109/NAECON.2012.6531052

    Web of Science

    researchmap

  • Optical configuration acceleration on a new optically reconfigurable gate array VLSI using a negative logic implementation Reviewed

    R. Moriwaki, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   127 - 132   2012.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A 4-configuration context fiber-linked optically reconfigurable gate array Reviewed

    Yumiko Ueno, Minoru Watanabe

    Technical Digest - 2012 17th Opto-Electronics and Communications Conference, OECC 2012   592 - 593   2012.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Recently, fiber networks and undersea fiber optic cables have become widely used throughout the world. Because of its much lower attenuation and interference, optical fiber is superior to copper wire for long-distance applications. Optical fiber data transfer rates and distances reach GHz-order and over 100 km. Previously, a remote configurable field programmable gate array (FPGA) system using current fiber networks was reported. However, since its configuration speed was very low, dynamic high-speed reconfiguration was impossible. Therefore, this paper presents a 4-configuration context fiber-linked optically reconfigurable gate array using a bundle of fiber networks and an optically reconfigurable gate array. © 2012 IEEE.

    DOI: 10.1109/OECC.2012.6276587

    Scopus

    researchmap

  • A 16-configuration-context dynamic optically reconfigurable gate array with a dependable laser array Reviewed

    Takashi Yoza, Minoru Watanabe

    2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS)   92 - 98   2012.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Demand is increasing daily for a large-gate-count robust VLSI chip that is useful in a radiation-rich space environment. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a much larger virtual gate count than those of current VLSI chips. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. Among such developments, dynamic optically reconfigurable gate arrays (DORGAs) have been developed to realize a high-gate-density VLSI using a photodiode memory architecture. Unfortunately, the DORGA architecture is more sensitive to the unallowable turn-off failure mode of a laser array. Therefore, this paper presents a 16-configuration-context dynamic optically reconfigurable gate array with a dependable laser array. © 2012 IEEE.

    DOI: 10.1109/AHS.2012.6268635

    Scopus

    researchmap

  • 0.18 μm CMOS process high-sensitivity optically reconfigurable gate array VLSI Reviewed

    T. Watanabe, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   147 - 151   2012.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • FPGA Connect6 Solver with Hardware Sort Units Reviewed

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, T. Watanabe, Y. Aoyama, M. Seo, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   163 - 166   2012.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • High speed - low power optical configuration on an ORGA with a phase-modulation type holographic memory Reviewed

    Takahiro Watanabe, Minoru Watanabe

    2012 IEEE 26TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS & PHD FORUM (IPDPSW)   256 - 260   2012.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) with an amplitude-modulation type holographic memory have been developed as a type of multi-context field programmable gate array. The ORGA's programmable gate array can be reconfigured in nanosecond-order, with more than 100 reconfiguration contexts. However, the diffraction efficiency of the amplitude-modulation type holographic memory is not good because the holographic memory decreases its transmission light intensity depending on the modulation level. About half of the power of the laser is consumed by the holographic memory. Therefore, this paper presents a high-speed - low-power optical configuration capability of an ORGA with a phase-modulation type holographic memory.

    DOI: 10.1109/IPDPSW.2012.28

    Web of Science

    researchmap

  • Mono-instruction computer on a dynamically reconfigurable gate array Reviewed

    Y. Nihira, M, Watanabe

    Workshop on Synthesis And System Integration of Mixed Information technologies   66 - 70   2012.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • Triple module redundancy of a laser array driver circuit for optically reconfigurable gate arrays Reviewed

    Takahiro Watanabe, Minoru Watanabe

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   7199   163 - 173   2012.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Demand is increasing daily for a robust field programmable gate array that is useful for operations performed in a radiation-rich space environment, such as those of spacecraft, space satellites, and space stations. Optically reconfigurable gate arrays (ORGAs) are under development as robust field programmable gate arrays. Their holographic memories can generate correct configuration contexts at any time, even if up to 20 % of the holographic memory data are damaged. However, up to now, a soft error effect for a laser array on ORGA devices has never been discussed. Therefore, this paper first presents a proposal of a method to find an unexpected configuration procedure caused by a laser array driver circuit facing a soft error on conventional ORGA architectures and to recover from such a procedure. Then this paper presents a proposal of a new robust laser array driver circuit that is applicable for any ORGA architecture, which can perfectly remove the unexpected configuration procedure itself. © 2012 Springer-Verlag.

    DOI: 10.1007/978-3-642-28365-9_14

    Scopus

    researchmap

  • A full dynamically reconfigurable vision-chip system including a lens-array Reviewed

    Y. Kamikubo, M. Watanabe, S. Kawahito

    Workshop on Synthesis And System Integration of Mixed Information technologies   272 - 277   2012.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • Dependable Optically Reconfigurable Gate Array

    Minoru Watanabe

    2012 International Workshop on Advanced Nanovision Science   47 - 50   2012.1

     More details

    Language:English  

    researchmap

  • Binary MEMS optically reconfigurable gate array for an artificial brain system Reviewed

    Yuichiro Yamaji, Minoru Watanabe

    PROCEEDINGS OF THE SEVENTEENTH INTERNATIONAL SYMPOSIUM ON ARTIFICIAL LIFE AND ROBOTICS (AROB 17TH '12)   614 - 617   2012.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:ALIFE ROBOTICS CO, LTD  

    Optically reconfigurable gate arrays (ORGAs) consisting of a holographic memory, a laser diode array, and a programmable gate array were developed to realize an artificial brain system for robots. In the ORGA, much information or many reconfiguration contexts can be stored in a volume-type holographic memory and can be programmed dynamically onto a programmable gate array at nanosecond-order perfectly in parallel. Therefore, by exploiting the huge storage capacity of the holographic memory and large parallel operations on a programmable gate array, huge parallel brain operations can be executed quickly on an ORGA. This paper presents a proposal of a binary MEMS-interleaving reconfiguration operation on an optically reconfigurable gate array for an artificial brain system.

    Web of Science

    researchmap

  • Gray-level image recmgnitimrmn a dynamically recmnfigurablevisimnarchitecture Reviewed

    Yuki Kamikubo, Minonu Witanabe, Shoji Kawahito

    International System on Chip Conference   61 - 65   2012

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Recently, for fse in aftonomofs vehicles and robots, demand has been increasing for high-speed image recognition that is sfperior to that of the hfman eye. However, to recognize nfmerofs images qfickly, sfch system reqfires many template images to be read oft dynamically from memory. They mfst then be sent to a processor qfickly. Realizing sfch high-speed real-time image recognition operation is difficflt becafse of the bottleneck of transfer speed between the memory and the processor. Therefore, to improve the bottleneck, a dynamically reconfigfrable vision architectfre that can recognize binarized images has been presented. However, to date, no dynamically reconfigfrable vision architectfre that can recognize gray-level images has ever been presented. Therefore, this paper presents experimentation related to a more advanced dynamically reconfigfrable vision architectfre that can recognize gray-level images. © 2012 IEEE.

    DOI: 10.1109/SOCC.2012.6398381

    Scopus

    researchmap

  • Holographic polymer-dispersed liquid crystal memory for optically reconfigurable gate array using subwavelength grating mask Reviewed

    Akifumi Ogiwara, Minoru Watanabe, Takayuki Mabuchi, Fuminori Kobayashi

    APPLIED OPTICS   50 ( 34 )   6369 - 6376   2011.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Holographic polymer-dispersed liquid crystal (HPDLC) memory formed by a subwavelength grating (SWG) mask is presented for new optical information processing. The SWG structure in a photomask is formed on the SiO2 plate using the anisotropic reactive ion etching technique. The configuration contexts for optically reconfigurable gate arrays (ORGAs) are stored in the HPDLC memory by polarization modulation property based on the form birefringence of the SWGplate. The configuration context pattern in the HPDLC memory is reconstructed to write it for the ORGAs under parallel programmability. (C) 2011 Optical Society of America

    DOI: 10.1364/AO.50.006369

    Web of Science

    researchmap

  • An FPGA Connect6 Solver with a Two-Stage Pipelined Evaluation Reviewed

    Takahiro Watanabe, Retsu Moriwaki, Yuichiro Yamaji, Yuki Kamikubo, Yuki Torigai, Yuki Nihira, Takashi Yoza, Yumiko Ueno, Yuji Aoyama, Minoru Watanabe

    2011 International Conference on Field-Programmable Technology, FPT 2011   2011.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Recently, immediately following invention of a Connect6 game, many game programs were developed aggressively. However, because the solution space of the Connect6 game is extremely large, the computation powers of current computers are quite insufficient to search all possible solutions. This paper therefore presents a proposal of a field programmable gate array (FPGA) Connect6 Solver with a two-stage pipelined evaluation exploiting numerous zero-evaluation functions to evaluate only a zero value and a small number of detailed evaluation functions which can evaluate all range. The FPGA Connect6 Solver implemented onto a Cyclone IV FPGA is able to defeat target software provided by the 2011 International Conference on Field-Programmable Technology (ICFPT) within the time limit of 1 s for each turn. In addition, the FPGA Connect6 Solver can defeat another JAVA-based software program with a similar algorithm. At that time, the operation speed of the FPGA Connect6 Solver is 764.2 times higher than that of the software operating on a personal computer (Vostro 220S
    Dell Inc.) with a 3.16 GHz, Core 2 Duo processor (Intel Corp.). © 2011 IEEE.

    DOI: 10.1109/FPT.2011.6133249

    Scopus

    researchmap

  • Full liquid crystal spatial light modulator writer system for a programmable optically reconfigurable gate array Reviewed

    S. Kubota, M. Watanabe

    MICROOPTICS CONFERENCE   H-47   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Holographic polymer-dispersed liquid crystal memory for optically reconfigurable gate array using subwavelength grating mask Reviewed

    OGIWARA Akifumi, WATANABE Minoru, MABUCHI Takayuki, KOBAYASHI Fuminori

    APPLIED OPTICS   50 ( 34 )   6369 - 6376   2011.11

  • Robust holographic storage system design Reviewed

    Takahiro Watanabe, Minoru Watanabe

    OPTICS EXPRESS   19 ( 24 )   24147 - 24158   2011.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Demand is increasing daily for large data storage systems that are useful for applications in spacecraft, space satellites, and space robots, which are all exposed to radiation-rich space environment. As candidates for use in space embedded systems, holographic storage systems are promising because they can easily provided the demanded large-storage capability. Particularly, holographic storage systems, which have no rotation mechanism, are demanded because they are virtually maintenance-free. Although a holographic memory itself is an extremely robust device even in a space radiation environment, its associated lasers and drive circuit devices are vulnerable. Such vulnerabilities sometimes engendered severe problems that prevent reading of all contents of the holographic memory, which is a turn-off failure mode of a laser array. This paper therefore presents a proposal for a recovery method for the turn-off failure mode of a laser array on a holographic storage system, and describes results of an experimental demonstration. (C) 2011 Optical Society of America

    DOI: 10.1364/OE.19.024147

    Web of Science

    researchmap

  • Triple module redundancy scheme on an optically reconfigurable gate array Reviewed

    Y. Torigai, M. Watanabe

    International SoC Design Conference   250 - 253   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    DOI: 10.1109/ISOCC.2011.6138757

    researchmap

  • Multi-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory Reviewed

    R. Moriwaki, M. Watanabe, A. Ogiwara, F. Kobayashi

    MICROOPTICS CONFERENCE   1 - 2   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Holographic memory formed by multi-context recording for optically reconfigurable gate array Reviewed

    A. Ogiwara, M. Watanabe, F. Kobayashi

    17th Microopics Conference (MOC)   1 - 2   2011.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Dependable optically reconfigurable gate array with a phase-modulation type holographic memory Reviewed

    Takahiro Watanabe, Minoru Watanabe

    2011 21st International Conference on Field Programmable Logic and Applications   34 - 37   2011.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array. An ORGA's programmable gate array can be reconfigured at nanosecond-order, with more than 100 reconfiguration contexts. In addition to that beneficial feature, since ORGAs can be reconfigured with invalid configuration data that have been damaged by high-energy charged particles in a radiation-rich space environment, ORGAs are suitable for space applications. The robust capability of ORGAs with an amplitude modulation type holographic memory has already been demonstrated, but an ORGA with a phase-modulation type holographic memory that can achieve more robust capability has never been reported. Therefore, this paper presents a proposal of a new dependable ORGA architecture based on a phase-modulation type of holographic memory. In addition, this paper describes experimental clarification through a demonstration that the dependable ORGA is more robust than conventional ORGAs with an amplitude modulation type holographic memory. © 2011 IEEE .

    DOI: 10.1109/FPL.2011.17

    Scopus

    researchmap

  • A Four-Context Programmable Optically Reconfigurable Gate Array With a Reflective Silver-Halide Holographic Memory Reviewed

    Shinya Kubota, Minoru Watanabe

    IEEE PHOTONICS JOURNAL   3 ( 4 )   665 - 675   2011.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    This paper presents a proposal for a four-context programmable optically reconfigurable gate array (PORGA) with a high-resolution reflective silver-halide holographic memory and a corresponding writer system. The PORGA demonstrates the capability of 4.6-11.5-mu s high-speed configuration context switching among four configuration contexts, which is 1000 times faster than that of conventional field programmable gate arrays (FPGAs).

    DOI: 10.1109/JPHOT.2011.2160335

    Web of Science

    researchmap

  • Novel dynamic module multiple redundancy for optically reconfigurable gate arrays

    Minoru Watanabe

    IEEE International Midwest Symposium on Circuits & Systems   2011.8

     More details

    Language:English  

    researchmap

  • Dynamic reconfiguration on a dynamically reconfigurable vision-chip architecture Reviewed

    A. Gundjalam, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   2011.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A MEMS writer system embedded for a programmable optically reconfigurable gate array Reviewed

    S. Kubota, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   130 - 135   2011.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory Reviewed

    Takayuki Mahuchi, Minoru Watanabe, Akifumi Ogiwara, Fuminori Kobayashi

    Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2011   44 - 49   2011.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of high-fault-tolerance multi-context field programmable gate array for space applications under radiation-rich environments. However, although many configuration contexts can be stored on an ORGA device and can be implemented on its gate array dynamically in an extremely short time, several laser sources are necessary to address the configuration contexts. Since such lasers are always expensive and because such devices are easily damaged by surge current, reducing the number of lasers is an important factor. Therefore, this paper presents a proposal of a new optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory. Under the ORGA, all configuration contexts can be addressed by half the number of lasers as there are reconfiguration contexts. This paper presents demonstration results of the optically re-configurable gate array obtained using a polymer-dispersed liquid crystal holographic memory. © 2011 IEEE.

    DOI: 10.1109/AHS.2011.5963965

    Scopus

    researchmap

  • Parallel template matching operations on a dynamically reconfigurable vision-chip architecture Reviewed

    Hironari Nakada, Minora Watanabe, Shoji Kawahito

    2011 IEEE 9th International New Circuits and Systems Conference, NEWCAS 2011   205 - 208   2011.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Recently, high-speed image recognition functionality that is superior to the image recognition speed of the human eye is demanded for autonomous vehicles and robots. Currently, such embedded systems comprise a processor and memory. To recognize many images, many template images must be stored in memory and must be sent quickly from memory to the processor. For example, assuming that the system receives an external image with 1 million pixels at every 1 ms and assuming that the system must execute template-matching operations of 1 million template images with the same million pixels within 1 ms, then the transfer speed from the memory to the processor and the processor operation reaches 1 Petapixel/s. Therefore, to realize high-speed template-matching operation, a dynamically reconfigurable vision-chip architecture with a holographic memory and large-bandwidth optical connections has been proposed. Among such researches, this paper presents a proposal of novel parallel template-matching operations performed on the dynamically reconfigurable vision-chip architecture. Furthermore, the advantages of the new method are discussed based on some demonstration results. © 2011 IEEE.

    DOI: 10.1109/NEWCAS.2011.5981291

    Scopus

    researchmap

  • A 16-laser array for an optically reconfigurable gate array Reviewed

    Takahiro Watanabe, Minoru Watanabe

    2011 International Conference on Space Optical Systems and Applications, ICSOS'11   255 - 260   2011.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Demand is increasing daily for a robust VLSI chip that is useful for operating under a radiation-rich space environment, such as spacecraft, space satellites, and space stations. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. This paper presents a robust 16-laser array for an optically reconfigurable gate array and its demonstration results. © 2011 IEEE.

    DOI: 10.1109/ICSOS.2011.5783679

    Scopus

    researchmap

  • A configuration-speed acceleration method for a sequential circuit using a negative logic implementation Reviewed

    Retsu Moriwaki, Minoru Watanabe

    2011 International Conference on Space Optical Systems and Applications, ICSOS'11   213 - 217   2011.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    An optically reconfigurable gate array (ORGA) was developed recently as one multi-context device to achieve high-speed reconfiguration. Since quick context switching allows implementation of many functions onto a gate array without idle time, fast reconfiguration is extremely important for multi-context devices. In ORGAs, the easiest way to increase the reconfiguration frequency is to use high-power lasers, but such lasers increase the ORGA power consumption and package size. In some cases, they might even require a cooling system. For that reason, this paper presents a configuration speed acceleration method for a sequential circuit using a negative logic implementation without ORGA architecture modification and without any increase of laser power. Based on experimentally obtained results, this paper clarifies the acceleration method's effectiveness. © 2011 IEEE.

    DOI: 10.1109/ICSOS.2011.5783670

    Scopus

    researchmap

  • Fast Optical Reconfiguration of a Nine-Context DORGA Using a Speed Adjustment Control Reviewed

    Mao Nakajima, Minoru Watanabe

    ACM TRANSACTIONS ON RECONFIGURABLE TECHNOLOGY AND SYSTEMS   4 ( 2 )   2011.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ASSOC COMPUTING MACHINERY  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits. Such dynamic reconfiguration requires two important features: fast reconfiguration and numerous reconfiguration contexts. However, fast reconfiguration and numerous reconfiguration contexts share a trade-off relation on current VLSIs. Therefore, Optically Reconfigurable Gate Arrays (ORGAs) have been developed to resolve this dilemma. An ORGA architecture allows many configuration contexts by exploiting the large storage capacity of a holographic memory and fast reconfiguration using wide-bandwidth optical connections between a holographic memory and a programmable gate array VLSI. In addition, Dynamic Optically Reconfigurable Gate Arrays (DORGAs) using a photodiode memory architecture have already been developed to realize a high-gate-density VLSI. Therefore, this article presents the first demonstration of a nanosecond-order configuration of a nine-context DORGA architecture. Moreover, this article presents a proposal of a reconfiguration period adjustment technique to control each reconfiguration period to its best setting.

    DOI: 10.1145/1968502.1968506

    Web of Science

    researchmap

  • Reduction method of refresh cycles for a dynamic optically reconfigurable gate array Reviewed

    Y. Aoyama, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   2011.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Programming Options for an Optical FPGA with Clockwise Dynamic Reconfigurability Reviewed

    F. Matsusaki, F. Kobayashi, A. Nagino, M. Watanabe

    International Conference on Innovative Computing and Communication and Asia-Pacific Conference on Information Technology and Ocean Engineering   2011.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • MEMS Interleaving Read Operation of a Holographic Memory for Optically Reconfigurable Gate Arrays Reviewed

    Hironobu Morita, Minoru Watanabe

    RECONFIGURABLE COMPUTING: ARCHITECTURES, TOOLS AND APPLICATIONS   6578   242 - 252   2011.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPRINGER-VERLAG BERLIN  

    Optically reconfigurable gate array (ORGAs) were developed to realize next-generation large-virtual gate count programmable VLSIs. An ORGA consists of an ORGA-VLSI, a holographic memory, and a laser array, which is used for addressing the holographic memory. Since many configuration contexts can be stored on a volume-type holographic memory, the corresponding number of lasers must he implemented on an ORGA. However, a laser array with numerous lasers is always expensive. Therefore, to accommodate numerous configuration contexts with fewer lasers, this paper presents a novel method using an interleaving read operation of a holographic memory for ORGAs. This method can provide an addressing capability of a billion configuration contexts along with a nanosecond-order high-speed configuration capability.

    DOI: 10.1007/978-3-642-19475-7_25

    Web of Science

    researchmap

  • A 144-configuration context MEMS optically reconfigurable gate array Reviewed

    Yuichiro Yamaji, Minoru Watanabe

    2011 IEEE INTERNATIONAL SOC CONFERENCE (SOCC)   237 - 241   2011

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Demand for space uses of FPGAs is increasing to support hardware repair and hardware update functions in addition to software repair and update functions in spacecraft, satellites, space stations, and other applications. However, under a space radiation environment, the incidence of high-energy charged particles causes single or multi-event latch-up (S/MEL)-associated troubles and single or multi-event upset (S/MEU)-associated temporary failures. Although an FPGA, because of its programmability, presents the advantageous capabilities of recovering from and updating after S/MEL-associated troubles, the FPGA can not guard itself completely from S/MEU-associated temporary failures that might arise on its configuration SRAM. This paper therefore presents a proposal for a 144-configuration context MEMS optically reconfigurable gate array that can support a remotely updatable hardware function, can quickly repair S/MEL-associated permanent failures, and can perfectly guard itself from S/MEU-associated temporary failures that can occur in a space radiation environment.

    DOI: 10.1109/SOCC.2011.6085083

    Web of Science

    researchmap

  • A 64-context MEMS optically reconfigurable gate array Reviewed

    Yuichiro Yamaji, Minoru Watanabe

    2010 International Conference on Field-Programmable Technology   499 - 502   2010.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Demand for use of FPGAs in space is increasing to support hardware repair and hardware update functions in addition to software repair and update functions in spacecraft, satellites, space stations, and other applications. Under a space radiation environment, embedded devices must allow for incidence of high-energy charged particles. Such incidence can cause single or multi-event latch-up (S/MEL)-associated troubles and single or multi-event upset (S/MEU)-associated temporary failures. Although an FPGA, because of its programmability, presents the advantageous capabilities of recovering from and updating after S/MEL-associated troubles, the FPGA can not guard itself completely from S/MEU-associated temporary failures that might arise on its configuration SRAM. This paper therefore presents a proposal for a 64-context MEMS optically reconfigurable gate array that can support a remotely updatable hardware function, can quickly repair S/MEL-associated permanent failures, and can perfectly guard itself from S/MEU-associated temporary failures that can occur in a space radiation environment. © 2010 IEEE.

    DOI: 10.1109/FPT.2010.5681467

    Scopus

    researchmap

  • Fiber remote configuration for an optically reconfigurable gate array with four configuration contexts Reviewed

    Yumiko Ueno, Minoru Watanabe

    OPTICS COMMUNICATIONS   283 ( 23 )   4614 - 4618   2010.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    A function enabling remote programming is necessary for systems used with nuclear power plants, high-energy physics experiments, and other plants with no personnel Recently, optically reconfigurable gate array (ORGA) architecture has been developed to achieve a huge virtual gate count that is much greater than those of currently available VLSIs However, ORGA architectures have never supported a remote programming capability since a complicated holographic writer system is required along with an ORGA for that purpose. This paper therefore presents a proposal of a new remotely reconfigurable gate array architecture with four configuration contexts that enable remote reconfiguration using optical fiber networks Furthermore, this paper presents discussion of the availability of this architecture and plans based on the experimental results (C) 2010 Elsevier B V All rights reserved

    DOI: 10.1016/j.optcom.2010.06.090

    Web of Science

    CiNii Article

    researchmap

  • Dynamic optically reconfigurable gate array very large-scale integration with partial reconfiguration capability Reviewed

    D. Seto, M. Nakajima, M. Watanabe

    Applied Optics   49 ( 36 )   6986 - 6994   2010.12

  • Dynamic optically reconfigurable gate array very large-scale integration with partial reconfiguration capability Reviewed

    Daisaku Seto, Mao Nakajima, Minoru Watanabe

    APPLIED OPTICS   49 ( 36 )   6986 - 6994   2010.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    We present a proposal of a partial reconfiguration architecture for optically reconfigurable gate arrays and present an 11,424 gate dynamic optically reconfigurable gate array VLSI chip that was fabricated on a 96.04 mm(2) chip using an 0.35 mu m three-metal complementary metal oxide semiconductor process technology. The fabricated VLSI chip achieved a 2.21 mu s partial reconfiguration. (C) 2010 Optical Society of America

    Web of Science

    researchmap

  • Multi-context programmable optically reconfigurable gate array using a silver-halide holographic memory Reviewed

    Shinya Kubota, Minoru Watanabe

    2010 IEEE/SICE International Symposium on System Integration: SI International 2010 - The 3rd Symposium on System Integration, SII 2010, Proceedings   431 - 435   2010.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, as one dynamic reconfigurable device, optically reconfigurable gate arrays (ORGAs) that consist of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve greater than 1 Teragate virtual integration, which is much greater than the integration that is possible using currently available VLSIs. If the ORGA can be used, a large software system can be implemented directly as hardware so that a large real-time system can be realized. Currently, a programmable ORGA architecture has been proposed to support user programmability. This paper presents the demonstration result of a multi-context programmable ORGA using a silver-halide non-volatile holographic memory and a corresponding writer system. ©2010 IEEE.

    DOI: 10.1109/SII.2010.5708364

    Scopus

    researchmap

  • Othello solver based on a soft-core MIMD processor array Reviewed

    Takayuki Mabuchi, Takahiro Watanabe, Retsu Moriwaki, Yuji Aoyama, Amarjargal Gundjalam, Yuichiro Yamaji, Hironari Nakada, Minoru Watanabe

    2010 International Conference on Field-Programmable Technology   511 - 514   2010.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This report presents an Othello Solver based on a 32-bit original soft-core Multiple Instruction stream, Multiple Data stream (MIMD) processor array targeting a single field programmable gate array (FPGA), Cyclone II (EP2C70D896C6N), on a DE2 Development and Education Board (Altera Corp.). The solver can execute a move-checking operation, a disc flipping operation, a move selection operation, an evaluation operation, and an alpha-beta pruning operation. The solver system includes a universal asynchronous receiver transmitter (UART) inside the FPGA and uses a RS-232C driver on the board so that the solver system can communicate with a personal computer or another FPGA according to the 2010 International Conference on Field Programmable Technology (FPT) competition specifications. The solver can win all skill levels of a target software provided from the FPT conference within the time limit of 1/40 s. This report presents estimates the solver's performance based on the implementation results. © 2010 IEEE.

    DOI: 10.1109/FPT.2010.5681470

    Scopus

    researchmap

  • Background light effect of a dynamically reconfigurable vision-chip architecture Reviewed

    Retsu Moriwaki, Minoru Watanabe

    2010 IEEE/SICE International Symposium on System Integration: SI International 2010 - The 3rd Symposium on System Integration, SII 2010, Proceedings   426 - 430   2010.12

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, demands for implementation of a high-speed image recognition function onto autonomous vehicles and robots, that is superior to that of the human eye, have been increasing. To date, analog-type vision chips and digital vision chips have been developed. Nevertheless, even now, realizing such high-speed real-time image recognition operation is extremely difficult because the template information transfer rate and template matching operation cycle reach the order of Petapixel/s. Therefore, to accommodate template matching operations that can be executed at rates greater than Petapixel/s, a dynamically reconfigurable vision-chip architecture has been developed in which a holographic memory technique is introduced to current VLSI technology. However, the dynamically reconfigurable vision-chip architecture must receive image information in addition to configuration context information. At such a time, a salient concern is that image information light might reduce the retention time of photodiode memories on a dynamically reconfigurable vision-chip. This paper therefore clarifies that the background light does not affect the photodiode memories on a dynamically reconfigurable vision-chip architecture. ©2010 IEEE.

    DOI: 10.1109/SII.2010.5708363

    Scopus

    researchmap

  • A retention time improvement method for a MEMS dynamic optically reconfigurable gate array Reviewed

    Hironobu Morita, Minoru Watanabe

    2010 International Symposium on Micro-NanoMechatronics and Human Science: From Micro and Nano Scale Systems to Robotics and Mechatronics Systems, MHS 2010, Micro-Nano GCOE 2010, Bio-Manipulation 2010   257 - 261   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    To date, optically reconfigurable gate arrays (ORGAs) have been developed to address high-speed operations. During that development, a MEMS dynamic optically reconfigurable gate array architecture was proposed: it perfectly removes the static configuration memory to store a context and uses junction capacitances of photodiodes as dynamic configuration memory to realize high-gate count ORGA-VLSI. However, this architecture presents the issue that retention time of programmed circuits on a gate array is, just like DRAMs, not infinite. Since the circuit reconfiguration frequency is always superior to the refresh cycle frequency, the refresh cycle problem need not be considered. However, circuits with a long lifetime exist among the many implementation circuits. For these circuits, many refresh cycles must be required continuously. Therefore, This paper presents a proposal of a retention time improvement method by adjusting the threshold level in the holographic memory calculation. ©2010 IEEE.

    DOI: 10.1109/MHS.2010.5669547

    Scopus

    researchmap

  • High-speed fiber-linked remote reconfiguration Reviewed

    Yumiko Ueno, Minoru Watanabe

    TENCON 2010 - 2010 IEEE Region 10 Conference   1203 - 1206   2010.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, fiber networks and undersea fiber optic cables have become widely used throughout the world. Because of its much lower attenuation and interference, optical fiber is superior to copper wires for long-distance applications. Such fibers' transfer rates and distances reach GHz-order and over 100 km. Up to now, a remote configurable FPGA system using current fiber networks was reported. However, since its configuration speed was very low, dynamic highspeed reconfiguration was impossible. This paper therefore presents a high-speed remote reconfiguration experiment using a bundle of fiber networks and an optically reconfigurable gate array. Such architecture presents the possibility of a remote high-speed dynamic reconfigurable hardware system.

    DOI: 10.1109/TENCON.2010.5686374

    Web of Science

    researchmap

  • Template matching operations on a dynamically reconfigurable vision-chip architecture Reviewed

    Hironari Nakada, Minoru Watanabe

    2010 10th International Symposium on Communications and Information Technologies   1091 - 1096   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Recently, for autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. To date, analog-type vision chips and digital vision chips have been developed. Nevertheless, even now, to realize such high-speed real-time image recognition operation is extremely difficult. Therefore, to realize a high-speed real-time image-recognizable vision chip, this paper experimentally presents a template matching operations on a dynamically reconfigurable vision-chip architecture. ©2010 IEEE.

    DOI: 10.1109/ISCIT.2010.5665152

    Scopus

    researchmap

  • Formation of holographic memory using subwavelength grating mask for optically reconfigurable gate array Reviewed

    A. Ogiwara, M. Watanabe, T. Mabuchi, F. Kobayashi

    MICROOPTICS CONFERENCE   108 - 109   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Development of optically reconfigurable gate arrays

    Minoru Watanabe

    International Symposium on Optical Memory   188 - 189   2010.10

     More details

    Language:English  

    researchmap

  • Fault tolerance of a holographic storage system Reviewed

    Takahiro Watanabe, Minoru Watanabe

    2010 10th International Symposium on Communications and Information Technologies   1126 - 1130   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Demand is increasing daily for large storage systems that are useful for devices operating under a radiation-rich space environment, such as spacecraft, space satellites, and space robots. A holographic storage system, which can easily provide large storage capacity, is a candidate for space embedded systems. The holographic storage system, which requires no rotation mechanism, usually consists of a holographic memory material, a laser array, and a photodiode array. Although a holographic memory itself is an extremely robust device for use in a space radiation environment, its associated components are vulnerable to radiation. Such vulnerable devices can cause severe problems by preventing the reading of all holographic memory contents. This is a turn-off failure mode of a laser array. This paper therefore presents a recovery method for a turn-off failure mode of a laser array on a holographic storage system and presents results of its demonstration. ©2010 IEEE.

    DOI: 10.1109/ISCIT.2010.5665158

    Scopus

    researchmap

  • Recovery method for a laser array failure on Dynamic Optically Reconfigurable Gate Arrays Reviewed

    Daisaku Seto, Minoru Watanabe

    2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems   411 - 419   2010.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    Demand is increasing daily for a large-gate-count robust VLSI chip that can be used in a radiation-rich space environment. Since they exploit the large storage capacity of a holographic memory, optically reconfigurable gate arrays (ORGAs) have been developed to realize a much larger virtual gate count than those of current VLSI chips. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. Among such developments, dynamic optically reconfigurable gate arrays (DORGAs) have been developed to realize a high-gate-density VLSI using a photodiode memory architecture. Unfortunately, the DORGA architecture is more sensitive to the unallowable turn-off failure mode of a laser array. Therefore, this paper presents a recovery method for a turn-off failure mode of a laser array on a DORGA and its demonstration results.

    DOI: 10.1109/DFT.2010.55

    Web of Science

    researchmap

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory including six configuration contexts Reviewed

    S. Kubota, M. Watanabe

    International Conference on Solid State Devices and Materials   67 - 68   2010.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Estimation of characteristic variation of photodiodes and its compensation method in an optically reconfigurable gate array Reviewed

    Yuji Aoyama, Minoru Watanabe

    23rd IEEE International SOC Conference   243 - 247   2010.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize large virtual gates and to increase gate array performance. Since the performance of gate arrays in dynamically reconfigurable gate arrays can be increased as increasing its reconfiguration clock frequency, a high-speed reconfiguration capability is extremely important. However, the efficiency of a conventional optical configuration is not good because the optical configuration speed suffers from the photodiode characteristic variation, optical component variation, alignment errors, and holographic memory properties. This paper therefore presents a proposal of a compensation method using an analog configuration context that can improve the optical configuration efficiency. Based on the experimental results, this paper presents a discussion of its performance. © 2010 IEEE.

    DOI: 10.1109/SOCC.2010.5784753

    Scopus

    researchmap

  • Microelectromechanical Configuration of an Optically Reconfigurable Gate Array Reviewed

    Hironobu Morita, Minoru Watanabe

    IEEE JOURNAL OF QUANTUM ELECTRONICS   46 ( 9 )   1288 - 1294   2010.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    This paper presents a proposal of a novel optically reconfigurable gate array architecture with a microelectromechanical system (MEMS) mirror array that allows high-speed reconfiguration by exploiting large-bandwidth optical connections between the MEMS mirror array and a programmable gate array. The MEMS mirror array is used as a holographic memory. Four configuration contexts can be programmed electrically and dynamically onto the MEMS mirror array as holographic memory information. The configuration procedure is executed by switching both a laser array and an MEMS mirror array. This experiment demonstrated a four-context 146 ns microelectromechanical configuration for a programmable gate array. Submicrosecond configuration is attainable.

    DOI: 10.1109/JQE.2010.2047378

    Web of Science

    researchmap

  • Relationship between alignment errors of optical components and power consumption in optoelectronic devices Reviewed

    Hironobu Morita, Minoru Watanabe

    2010 IEEE CPMT Symposium Japan, ICSJ10   2010.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Field Programmable Gate Arrays (FPGAs) have been used widely in recent years because of their flexible reconfiguration capabilities. Moreover, demand of high-speed reconfiguration for FPGAs has been increasing. However, since reconfiguration of FPGAs cannot be increased because of the serial transfer of configuration data, new optically reconfigurable gate arrays (ORGAs) have been proposed as an alternative device to achieve high-speed reconfiguration and numerous reconfiguration contexts. However, in the ORGAs, alignment errors affect the configuration power consumption. This paper presents the relation between alignment errors of optical components and power consumption in optoelectronic devices. ©2010 IEEE.

    DOI: 10.1109/CPMTSYMPJ.2010.5680217

    Scopus

    researchmap

  • Superimposing acceleration and optimization method of optical reconfiguration speed without any increase of laser power Reviewed

    Takayuki Mabuchi, Minoru Watanabe

    APPLIED OPTICS   49 ( 22 )   4120 - 4126   2010.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    This paper proposes a method of superimposing acceleration and optimizing the optical reconfiguration speed while requiring no increase of laser power. Using this technique, the optical reconfiguration speed is increased by superimposing multiple configuration contexts. Simultaneously, optimization of the number of configuration contexts and reconfiguration speed is possible. A full four-context optically reconfigurable gate array system consisting of an optically reconfigurable gate array VLSI, an easily rewritable liquid crystal holographic memory, and four vertical-cavity surface-emitting lasers was constructed to demonstrate this method. This paper clarifies the method's benefits using experimental results obtained from the demonstration system. (C) 2010 Optical Society of America

    DOI: 10.1364/AO.49.004120

    Web of Science

    researchmap

  • Formation of holographic memory for defect tolerance in optically reconfigurable gate arrays Reviewed

    APPLIED OPTICS   49 ( 22 )   4255 - 4261   2010.8

     More details

  • A superimposing acceleration and optimization method of optical reconfiguration speed without any increase of laser power Reviewed

    APPLIED OPTICS   49 ( 22 )   4120 - 4126   2010.8

     More details

  • Formation of holographic memory for defect tolerance in optically reconfigurable gate arrays Reviewed

    Akifumi Ogiwara, Minoru Watanabe, Takayuki Mabuchi, Fuminori Kobayashi

    APPLIED OPTICS   49 ( 22 )   4255 - 4261   2010.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Holographic polymer-dispersed liquid-crystal (HPDLC) memory using liquid-crystal composites is proposed for new optical information processing. Formation of HPDLC memory using a photomask is discussed for parallel programmability to realize fast reconfiguration of optically reconfigurable gate arrays (ORGAs). The defect tolerance of HPDLC memory is investigated to clarify the defect limitation of holographic configurations using ORGAs. Experimental results show that the noise ratio less than 15% applied to HPDLC memory rarely affects its diffraction pattern or a reconfiguration context. (C) 2010 Optical Society of America

    DOI: 10.1364/AO.49.004255

    Web of Science

    researchmap

  • Influence analysis of a holographic memory window of a programmable optically reconfigurable gate array Reviewed

    Shinya Kubota, Minoru Watanabe

    2010 53rd IEEE International Midwest Symposium on Circuits and Systems   913 - 916   2010.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. However, comparison of conventional ORGAs with current field programmable gate arrays (FPGAs) reveals one important shortcoming: they are not reprogrammable after fabrication. To remove that shortcoming, a programmable ORGA (PORGA) has been proposed. However, a PORGA must implement a window to detect holographic memory patterns. A PORGA window might have scratches, fingerprints, or other defects that could occur while a PORGA is used. Even under such situations, a PORGA must be programmable. This paper therefore presents influence analysis of a holographic memory window of a PORGA. It has been demonstrated that even if a window of PORGA has scratches, fingerprint, or defects, a programming procedure can be executed correctly.

    DOI: 10.1109/MWSCAS.2010.5548776

    Web of Science

    researchmap

  • Excellent fault tolerance of a MEMS optically differential reconfigurable gate array Reviewed

    Hironobu Morita, Minoru Watanabe

    2010 International Conference on Optical MEMS and Nanophotonics, Optical MEMS and Nanophotonics 2010   133 - 134   2010.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    (Figure Presented) This paper presents a four-context MEMS optically differential reconfigurable gate array that is useful in a space radiation environment. The technique enables rapid recovery of a programmable device that has been damaged by high-energy charged particles. It can use incorrect configuration data including some error bits resulting from damage by particles. This paper also clarifies the fault tolerance of the MEMS optically differential reconfigurable gate array. ©2010 IEEE.

    DOI: 10.1109/OMEMS.2010.5672149

    Scopus

    researchmap

  • Dynamically reconfigurable vision-chip architecture Reviewed

    Maki Yasuda, Minoru Watanabe

    2010 International Conference on Field Programmable Logic and Applications, FPL 2010   508 - 512   2010.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Recently, for autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. To date, analogtype vision chips and digital vision chips have been developed. Nevertheless, even now, to realize such high-speed real-time image recognition operation is extremely difficult. Therefore, to realize a high-speed real-time image-recognizable vision chip, this paper presents a proposal for a dynamically reconfigurable vision chip architecture. In addition, some experimental results are reported. © 2010 IEEE.

    DOI: 10.1109/FPL.2010.101

    Scopus

    researchmap

  • Binary MEMS optically reconfigurable gate array Reviewed

    Hironobu Morita, Minoru Watanabe

    Proceedings - 9th IEEE/ACIS International Conference on Computer and Information Science, ICIS 2010   63 - 68   2010.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Demand for high-speed dynamic reconfiguration for programmable devices has increased since such fast dynamic reconfiguration can increase the programmable gate array performance. To meet that demand, optically reconfigurable gate arrays (ORGAs) have been developed to achieve the fast dynamic reconfiguration. Among such studies, a MEMS ORGA has been developed. The reconfiguration can be executed not only by switching a laser array but also by switching a holographic memory. The first proposed MEMS ORGA took an analog fringe pattern for generating a configuration context, although the MEMS device is a binary spatial light modulator. The switching capability can therefore not be fully exploited from a MEMS device since a MEMS device requires PWM control for generating an analog fringe pattern. This paper presents a novel binary MEMS ORGA. The binary MEMS ORGA has achieved a 312 ns laser-reconfiguration and 22 μs holographic memory switching. © 2010 IEEE.

    DOI: 10.1109/ICIS.2010.89

    Scopus

    researchmap

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory Reviewed

    Shinya Kubota, Minoru Watanabe

    2010 15TH OPTOELECTRONICS AND COMMUNICATIONS CONFERENCE   370 - 371   2010.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This paper presents a new programmable optically reconfigurable gate array using a silver-halide non-volatile holographic memory and its writer system. This device achieves high-speed reconfiguration, numerous reconfiguration contexts and user programmability.

    Web of Science

    researchmap

  • Partial block-by-block reconfiguration for a dynamic optically reconfigurable gate array Reviewed

    D. Seto, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   232 - 237   2010.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A four-context optically reconfigurable gate array using a laser array attachment Reviewed

    T. Mabuchi, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   143 - 147   2010.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Recovery method for a turn-off failure mode of a laser array on an ORGA Reviewed

    Daisaku Seto, Minoru Watanabe

    2010 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2010   235 - 240   2010.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Demand for a large-gate-count robust VLSI chip that is usable in a radiation-rich space environment is increasing daily. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. However, the ORGA has only an unallowable failure mode, which is a turn-off failure mode of a laser array. This paper therefore presents a proposal of a recovery method for a turn-off failure mode of a laser array on an ORGA and presents its demonstration results. © 2010 IEEE.

    DOI: 10.1109/AHS.2010.5546252

    Scopus

    researchmap

  • Acceleration method of optical reconfigurations using analog configuration contexts Reviewed

    Yuji Aoyama, Minoru Watanabe

    2010 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2010   304 - 308   2010.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Optically reconfigurable gate arrays (ORGAs) consisting of a holographic memory, a laser array, and a programmable gate array have been developed to realize large virtual gates and to increase a gate array's performance. To date, all ORGAs used binary values for optically applied configuration contexts. However, the energy efficiency is not good. Therefore, this paper presents a novel acceleration method of optical reconfigurations using analog configuration contexts. © 2010 IEEE.

    DOI: 10.1109/AHS.2010.5546242

    Scopus

    researchmap

  • A 100-context optically reconfigurable gate array Reviewed

    Mao Nakajima, Minoru Watanabe

    2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS   2884 - 2887   2010.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    To date, optically reconfigurable gate arrays (ORGAs) have been developed to realize large virtual gates and to increase gate array performance by combining a holographic memory with a programmable gate array. Among such studies, a 16-context ORGA architecture with nanosecond-order reconfiguration capability has already been demonstrated. However, its reconfiguration contexts are too few to execute large real-time operations. This paper therefore presents a proposal of a more advanced 100-context ORGA architecture in addition to related experimental results.

    DOI: 10.1109/ISCAS.2010.5536965

    Web of Science

    researchmap

  • Configuration power reduction effect of an ORGA with analog configuration contexts Reviewed

    Y. Aoyama, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   189   2010.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Power reduction method using negative logic implementation Reviewed

    R. Moriwaki, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   192   2010.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • MEMS Dynamic Optically Reconfigurable Gate Array Usable under a Space Radiation Environment Reviewed

    Daisaku Seto, Minoru Watanabe

    RECONFIGURABLE COMPUTING: ARCHITECTURES, TOOLS AND APPLICATIONS   5992   134 - 144   2010.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPRINGER-VERLAG BERLIN  

    Embedded devices used for spacecraft, satellites, and space stations are vulnerable to the effects of high-energy charged particles. To resolve single-event latch-up (SEL)-associated troubles more flexibly using limited hardware resources in a space environment, reconfigurable devices such as field programmable gate arrays (FPGAs) are suitable. However, such reconfigurable systems present the shortcoming that the circuit itself on the gate array is not robust. The configuration context on a configuration SRAM also suffers from single-event upsets (SEUs) and SELs. This paper therefore proposes an MEMS dynamic optically reconfigurable gate array that is usable under a space radiation environment. The technique enables rapid recovery of a programmable device that has been damaged by high-energy charged particles. It uses incorrect configuration data including some error bits that had been damaged by particles. The configuration data are transferred using wireless communications and are retained on an EEP-ROM/SRAM.

    DOI: 10.1007/978-3-642-12133-3_14

    Web of Science

    researchmap

  • Fiber remote configuration for a dynamic optically reconfigurable gate array Reviewed

    Yumiko Ueno, Minoru Watanabe

    2010 15TH OPTOELECTRONICS AND COMMUNICATIONS CONFERENCE (OECC)   Optoelectronics and Communications Conference   250 - 251   2010

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEICE-INST ELECTRONICS INFORMATION & COMMUNICATION ENGINEERS  

    This paper presents a new remotely reconfigurable gate array, based on a dynamic optically reconfigurable gate array architecture, which is intended for use in systems at nuclear power plants, plants with no personnel, and other systems.

    Web of Science

    researchmap

  • Optical buffering technique under a space radiation environment Reviewed

    Mao Nakajima, Minoru Watanabe

    OPTICS LETTERS   34 ( 23 )   3719 - 3721   2009.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    We propose an optical buffering technique for a programmable gate array under a space radiation environment. The technique allows rapid recovery of a programmable device that is damaged by high-energy charged particles. The technique uses invalid configuration data, including error bits that have already been damaged by the particles, while the configuration data are transferred using wireless communications and are retained on electronically erasable, programmable read-only, or static random-access-memory devices. (C) 2009 Optical Society of America

    DOI: 10.1364/OL.34.003719

    Web of Science

    PubMed

    CiNii Article

    researchmap

  • Formation of volume holographic memory using liquid-crystal composites for optically reconfigurable gate array Reviewed

    A. Ogiwara, Y. Ochi, M. Miyake, M. Watanabe, T. Mabuchi, F. Kobayashi

    15th MICROOPTICS CONFERENCE   194 - 195   2009.10

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A Four-Context Optically Differential Reconfigurable Gate Array Reviewed

    Mao Nakajima, Minoru Watanabe

    JOURNAL OF LIGHTWAVE TECHNOLOGY   27 ( 20 )   4460 - 4470   2009.10

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    Reconfiguration applications based on reconfigurable devices present new computational paradigms since, by increasing the reconfiguration frequency of reconfigurable devices, their activity and performance can be improved dramatically. Recently, Optically Reconfigurable Gate Arrays (ORGAs) with a holographic memory were developed. They realize rapid reconfigurations and numerous reconfiguration contexts. Furthermore, Optically Differential Reconfigurable Gate Arrays (ODRGAs) have been developed to accelerate optical reconfigurations of conventional ORGAs. However, fast configuration experiments under multiple contexts exploiting the ODRGA architecture have never been reported. Therefore, this paper presents a four-context ODRGA system and experimental results demonstrating its fast reconfiguration. The advantage of the ODRGA architecture is discussed based on those results.

    DOI: 10.1109/JLT.2009.2024173

    Web of Science

    researchmap

  • Scaling prospect of optically differential reconfigurable gate array VLSIs Reviewed

    Minoru Watanabe, Takenori Shiki, Fuminori Kobayashi

    ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING   60 ( 1-2 )   137 - 143   2009.8

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:SPRINGER  

    Dynamic reconfigurable devices present new computational paradigms because programmable devices' activity and performance can be improved dramatically by increasing its reconfiguration frequency. Therefore, this paper presents designs of optically differential reconfigurable gate array (ODRGA) VLSIs using 0.18 mu m and 0.35 mu m CMOS process technologies. Although they are a type of programmable gate array, they can be reconfigured optically in nanoseconds. This paper also discusses future scaling prospects of ODRGA-VLSIs.

    DOI: 10.1007/s10470-008-9210-9

    Web of Science

    researchmap

  • Inversion/Non-inversion Implementation for an 11,424 Gate-Count Dynamic Optically Reconfigurable Gate Array VLSI Reviewed

    Shinichi Kato, Minoru Watanabe

    Embedded Computer Systems: Architectures, Modeling, and Simulation   5657   139 - 148   2009.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPRINGER-VERLAG BERLIN  

    To date, various optically reconfigurable gate arrays (ORGAs) have been developed to realize both fast reconfiguration and numerous reconfiguration contexts. Optically differential reconfigurable gate arrays (ODRGAs) present the advantageous capabilities compared with ORGAs: they have increased reconfiguration frequency per unit of laser power and reduced optical power consumption. Dynamic optically reconfigurable gate arrays (DORGA) can realize the highest gate density, but an important disadvantage of DORGAs is that their reconfiguration frequency is lower than that of ODRGAs and their optical power consumption is greater than that of ODRGAs. Therefore, a novel inversion/non-inversion dynamic optically reconfigurable gate array that adopts only the good factors from both architectures has been developed. This paper presents an inversion/non-inversion implementation for a fabricated 11,424 gate-count dynamic optically reconfigurable gate array VLSI. Based on that implementation, three factors are discussed: gate density, reconfiguration frequency per unit of laser power, and optical power consumption.

    DOI: 10.1007/978-3-642-03138-0_15

    Web of Science

    researchmap

  • A multi-context programmable optically reconfigurable gate array

    S. Kubota, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   305 - 306   2009.7

     More details

    Language:English  

    researchmap

  • Defect tolerance of an optically reconfigurable gate array with a one-time writable volume holographic memory Reviewed

    Takayuki Mabuchi, Kenji Miyashiro, Minoru Watanabe, Akifumi Ogiwara

    2009 NASA/ESA Conference on Adaptive Hardware and Systems   106 - 111   2009.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array to realize fast reconfiguration and numerous reconfiguration contexts. Along with such advantages, ORGAs have high defect tolerance. They consist simply of a holographic memory, a laser diode array, and a gate array VLSI. Even if a gate array VLSI includes defective areas, the ORGAs capability of perfectly parallel programmability enables avoidance of those defective areas through alternative use of other non-defective areas. Moreover, a holographic memory to store contexts is known to have high defect tolerance because each bit of a reconfiguration context can be generated from the entire holographic memory. Consequently, damage of a holographic memory rarely affects its diffraction pattern or a reconfiguration context. For that reason, ORGAs are extremely robust against component defects in devices such as a laser array, a gate array, and a holographic memory, and are particularly useful for space applications, which require high reliability. This paper presents experimentation related to the defect tolerance of new optically reconfigurable gate array with a one-time easily writable volume holographic memory.

    DOI: 10.1109/AHS.2009.62

    Web of Science

    researchmap

  • A sixteen-context dynamic optically reconfigurable gate array Reviewed

    Mao Nakajima, Minoru Watanabe

    2009 NASA/ESA Conference on Adaptive Hardware and Systems   120 - 125   2009.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits on a programmable device. Such dynamic reconfiguration necessitates two important features: fast reconfiguration and numerous contexts. However, because fast reconfiguration and numerous contexts share a tradeoff relation on current VLSIs, optically reconfigurable gate arrays (ORGAs) have been developed to resolve this dilemma. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Furthermore, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. Among such developments, we have been developing dynamic optically reconfigurable gate arrays (DOR-GAs) that realize a high gate density VLSI using a photodiode memory architecture. This paper presents the first demonstration of a 16-context DORGA architecture. Furthermore, we present experimental results: 530-833 ns reconfiguration times and 5-9.375 mu s retention times.

    DOI: 10.1109/AHS.2009.64

    Web of Science

    researchmap

  • Optically reconfigurable gate array with a one-time writable holographic memory Reviewed

    T. Mabuchi, K. Miyashiro, M. Watanabe, A. Ogiwara

    International Conference on engineering of reconfigurable systems and algorithms   307 - 308   2009.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • Alignment compensation method for an optically reconfigurable gate array Reviewed

    H. Morita, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   332 - 333   2009.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • A 16-context optically reconfigurable gate array Reviewed

    Mao Nakajima, Minoru Watanabe

    IEEE International Conference on Application-specific Systems, Architectures and Processors   227 - 230   2009.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of processors. Dynamic reconfiguration has two important prerequisites: fast reconfiguration and numerous reconfiguration contexts. Unfortunately, fast reconfigurations and numerous contexts share a tradeoff relation on current VLSIs. Therefore, optically reconfigurable gate arrays were developed to resolve this dilemma. Optically reconfigurable gate arrays can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Furthermore, optically reconfigurable gate arrays can realize rapid reconfiguration using large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. This paper presents the fastest 317-657 ns reconfiguration demonstration of a 16-context optically reconfigurable gate array architecture.

    DOI: 10.1109/ASAP.2009.41

    Web of Science

    researchmap

  • A programmable dynamic optically reconfigurable gate array

    Shinya Kubota, Minoru Watanabe

    2009 Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference   323 - 326   2009.6

     More details

    Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    DOI: 10.1109/newcas.2009.5290410

    Web of Science

    researchmap

  • Fast reconfiguration experiments of an optically differential reconfigurable gate array with nine configuration contexts Reviewed

    Mao Nakajima, Minoru Watanabe

    ISCAS: 2009 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-5   2013 - 2016   2009.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically differential reconfigurable gate arrays (ODRGAs) have been developed to achieve rapid reconfiguration and numerous reconfiguration contexts. Although fast reconfiguration experiments of a four-context ODRGA have been presented in earlier reports, the number of configuration contexts was insufficient for dynamic reconfiguration applications. Therefore, we have developed a more advanced nine-context ODRGA system. This paper presents fast 86.2 ns reconfiguration experiments using a nine-context ODRGA architecture and discusses plans for future work.

    DOI: 10.1109/ISCAS.2009.5118187

    Web of Science

    researchmap

  • A Nine-context Programmable Optically Reconfigurable Gate Array with Semiconductor Lasers Reviewed

    Shinya Kubota, Minoru Watanabe

    GLSVLSI 2009: PROCEEDINGS OF THE 2009 GREAT LAKES SYMPOSIUM ON VLSI   269 - 273   2009.5

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:ASSOC COMPUTING MACHINERY  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. Consequently, ORGAs with more than tera-gate capacity will be realized by exploiting the storage capacity of a holographic memory. However, compared with current field programmable gate arrays (FPGAs), conventional ORGAs have an important shortcoming: they are not reprogrammable after fabrication because, to reprogram ORGAs, a holographic memory must be disassembled from its ORGA package, reprogrammed outside of the ORGA package using a holographic memory writer, and then implemented onto the ORGA package with high precision beyond the capability of manual assembly. To remove that daunting problem, this paper presents a nine-context programmable ORGA and its writer. Furthermore, this paper presents discussion of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1145/1531542.1531606

    Web of Science

    researchmap

  • Power reduction effect of an inversion/non-inversion dynamic optically reconfigurable gate array Reviewed

    S. Kato, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   172   2009.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Dynamic optically reconfigurable gate array with high defect tolerance Reviewed

    D. Seto, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   171   171   2009.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • Fast Optical Reconfiguration of a Nine-Context DORGA Reviewed

    Mao Nakajima, Minoru Watanabe

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science   5453   123 - 132   2009.3

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SPRINGER-VERLAG BERLIN  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits. Such dynamic reconfiguration requires two important features: fast reconfiguration and numerous contexts. However, fast reconfigurations and numerous contexts share a trade-off relation on current VLSIs. Therefore, optically reconfigurable gate arrays (ORGAs) have been developed to resolve this dilemma. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Also, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. Among such developments, we have been developing dynamic optically reconfigurable gate arrays (DORGAs) that realize a high gate density VLSI using a photodiode memory architecture. This paper presents the first demonstration of a nine-context DORGA architecture. Furthermore, this paper presents experimental results: 1.2-8.97 mu s reconfiguration times and 66-221 mu s retention times.

    DOI: 10.1007/978-3-642-00641-8_14

    Web of Science

    researchmap

  • An estimation of an inversion/non-inversion dynamic optically reconfigurable gate array VLSI Reviewed

    S. Kato, M. Watanabe

    International Conference on Space Optical Systems and Applications   ICSOS2009 - 26   2009.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Configuration experiments for an optically reconfigurable gate array with a silver-halide holographic memory Reviewed

    M. Nakajima, M. Watanabe

    International Conference on Space Optical Systems and Applications   ICSOS2009 - 21   2009.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Triple-module redundancy for an optically reconfigurable gate array Reviewed

    D. Seto, M. Watanabe

    International Conference on Space Optical Systems and Applications   ICSOS2009 - 27   2009.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • An 11,424 gate-count dynamic optically reconfigurable gate array with a photodiode memory architecture Reviewed

    Daisaku Seto, Minoru Watanabe

    2009 Asia and South Pacific Design Automation Conference   117 - 118   2009.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    The world's largest 11,424 gate-count dynamic optically reconfigurable gate array VLSI chip, which is based on the use of junction capacitance of photodiodes as configuration memory, has been fabricated. The size and process of the VLSI chip are, respectively, a 96.04 mm(2) and a 0.35 mu m-3 metal CMOS process technology. To clarify the availability of the VLSI, this paper shows an experimental result of a long retention time of its photodiode memory architecture.

    DOI: 10.1109/ASPDAC.2009.4796460

    Web of Science

    researchmap

  • Programmable Optically Reconfigurable Gate Array Architecture and its writer Reviewed

    KUBOTA S.

    APPLIED OPTICS   48 ( 2 )   302 - 308   2009.1

     More details

  • Programmable optically reconfigurable gate array architecture and its writer Reviewed

    Shinya Kubota, Minoru Watanabe

    APPLIED OPTICS   48 ( 2 )   302 - 308   2009.1

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve huge virtual gate counts that vastly surpass those of currently available VLSIs. By exploiting the large storage capacity of a holographic memory, VLSIs with more than 1 teragate counts will be producible. However, compared with current field programmable gate arrays, conventional ORGAs have one important shortcoming: they cannot be reprogrammed after fabrication. To reprogram ORGAs, a holographic memory must be disassembled from its ORGA package, then reprogrammed outside of the ORGA package using a holographic memory writer. It must then be implemented onto the ORGA package with high precision techniques beyond that which can be provided by manual assembly. Therefore, to improve this shortcoming, this paper proposes what is believed to be the world's first programmable ORGA architecture with no disassembly. Finally, the availability of this architecture is discussed based on the experimental results. (C) 2009 Optical Society of America

    DOI: 10.1364/AO.48.000302

    Web of Science

    researchmap

  • An inversion/non-inversion dynamic optically reconfigurable gate array VLSI Reviewed

    WATANABE M.

    World Scientific and Engineering Academy and Society Transactions on Circuits and Systems   8 ( 1 )   11 - 20   2009.1

     More details

    Language:English  

    CiNii Article

    researchmap

  • Fault tolerance of a dynamic optically reconfigurable gate array with a one-time writable volume holographic memory Reviewed

    Takayuk Mabuchi, Kenji Miyashiro, Minoru Watanabe, Akifumi Ogiwara

    2009 52ND IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2   917 - +   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array to realize fast reconfiguration and numerous reconfiguration contexts. Along with such advantages, ORGAs have particularly high defect tolerance. They consist simply of a holographic memory, a laser diode array, and a gate array VLSI. Even if a gate array VLSI includes defective areas, the perfectly parallel programmable capability of ORGAs enables perfect avoidance of those defective areas through alternative use of other non-defective areas. Moreover, holographic memories to store contexts are known to have high defect tolerance because each bit of a reconfiguration context can be generated from the entire holographic memory. For those reasons, the damage of some part of the device rarely affects its diffraction pattern or a reconfiguration context. Consequently, ORGAs are very robust against component defects in devices such as a laser array, a gate array, and a holographic memory, and are particularly useful for space applications, which require high reliability. This paper presents experimental results of defect tolerance of a new dynamic optically reconfigurable gate array with a one-time easily writable holographic memory.

    DOI: 10.1109/MWSCAS.2009.5235916

    Web of Science

    researchmap

  • A multi-context programmable optically reconfigurable gate array without a beam splitter Reviewed

    Shinya Kubota, Minoru Watanabe

    2009 52ND IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2   971 - 974   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. Consequently, exploitation of the storage capacity of a holographic memory produces ORGAs with more than tera-gate capacity. However, comparison of conventional ORGAs with current field programmable gate arrays (FPGAs) reveals one important shortcoming: they are not reprogrammable after fabrication: to reprogram an ORGA, a holographic memory must be disassembled from its ORGA package, reprogrammed outside of the ORGA package using a holographic memory writer, and again implemented onto the ORGA package with high precision beyond the capability of manual assembly. Therefore, to remove that shortcoming, a programmable ORGA has been proposed. However, since previously proposed programmable ORGA configurations used a beam splitter, its alignment was complicated. Furthermore, the alignments of lasers were also limited. This paper presents a multi-context programmable optically reconfigurable gate array that uses no beam splitter. Furthermore, this paper presents discussions of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1109/MWSCAS.2009.5235928

    Web of Science

    researchmap

  • MEMS OPTICALLY RECONFIGURABLE GATE ARRAY Reviewed

    Hironobu Morita, Minoru Watanabe

    FPL: 2009 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS   511 - 515   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits on its programmable gate array. Such dynamic reconfiguration requires two important features: fast reconfiguration and numerous contexts. However, fast reconfigurations and numerous contexts share a trade-off relation on current VLSIs. Therefore, optically reconfigurable gate arrays (ORGAs) have been developed to resolve this dilemma. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Also, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. This paper presents the world's first demonstration of an ORGA with micro electro mechanical system's (MEMS) holographic memory.

    DOI: 10.1109/FPL.2009.5272445

    Web of Science

    researchmap

  • 36-Context dynamic optically reconfigurable gate array Reviewed

    Mao Nakajima, Minoru Watanabe

    2009 IEEE/SICE International Symposium on System Integration: SI International 2008 - The 2nd Symposium on System Integration   19 - 23   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Optically reconfigurable gate arrays (ORGAs) have been developed for the purposes of realizing large virtual gates and increasing a gate array's performance by combining a holographic memory with a programmable gate array. In addition, dynamic optically reconfigurable gate arrays (DORGAs) using a photodiode memory architecture have been developed to realize a high-density VLSI. We have already demonstrated a 16-context DORGA architecture with a nanosecond-order reconfiguration capability. This paper presents a more advanced 36-context DORGA with performance that exceeds that of current dynamic reconfigurable devices. ©2009 IEEE.

    DOI: 10.1109/SI.2009.5384563

    Scopus

    researchmap

  • An optical configuration acceleration method using negative logic implementation Reviewed

    Retsu Moriwaki, Minoru Watanabe

    2009 INTERNATIONAL CONFERENCE ON EMERGING TRENDS IN ELECTRONIC AND PHOTONIC DEVICES AND SYSTEMS (ELECTRO-2009)   552 - 555   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Up to now, as one of multi-context devices, an optically reconfigurable gate array (ORGA) has been developed to achieve high-speed reconfiguration. Since quick context switching allows implementation of many functions onto a gate array without idle time, fast reconfiguration is extremely important for multi-context devices. In ORGAs, the easiest way to increase the reconfiguration frequency is to use high-power lasers. However, such high-power lasers increase power consumption and package size. In the worst case, they might require a cooling system. For that reason, this paper proposes a negative logic implementation method by which optical configurations can be accelerated without ORGA architecture modification and any increase of laser power. This time, the method was demonstrated on a dynamic optically reconfigurable gate array architecture. Based on the experimental results, this paper clarifies the acceleration method's effectiveness.

    DOI: 10.1109/ELECTRO.2009.5441043

    Web of Science

    researchmap

  • Fiber remote configuration for an optically reconfigurable gate array Reviewed

    Yumiko Ueno, Minoru Watanabe

    2009 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC 2009)   460 - 463   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A function enabling remote programming is necessary for systems at nuclear power plants, plants with no personnel, and other systems. Recently, an optically reconfigurable gate array (ORGA) architecture has been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. However, ORGA architectures have never realized a remote programming capability. Therefore, this paper proposes a new remotely reconfigurable gate array architecture that enables remote reconfiguration using optical fiber networks. Furthermore, this paper presents discussion of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1109/SOCDC.2009.5423925

    Web of Science

    researchmap

  • MEMS inversion/non-inversion dynamic optically reconfigurable gate array Reviewed

    Daisaku Seto, Minoru Watanabe

    2009 IEEE/SICE International Symposium on System Integration: SI International 2008 - The 2nd Symposium on System Integration   24 - 29   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    To date, for large system integrations, various optically reconfigurable gate arrays (ORGAs) have been developed to realize both fast reconfiguration and numerous reconfiguration contexts. Optically differential reconfigurable gate arrays (ODRGAs) present advantageous capabilities compared with ORGAs: they have increased reconfiguration frequency per unit of laser power and reduced optical power consumption. Dynamic optically reconfigurable gate arrays (DORGA) can realize the highest gate density. Nevertheless, an important disadvantage of DORGAs is that their reconfiguration frequency is lower than that of ODRGAs and their optical power consumption is greater than that of ODRGAs. Therefore, a novel inversion/non-inversion dynamic optically reconfigurable gate array incorporating only the good attributes from both architectures has been developed. This paper presents a novel inversion/non-inversion ORGA using a rewritable MEMS holographic memory. ©2009 IEEE.

    DOI: 10.1109/SI.2009.5384560

    Scopus

    researchmap

  • MEMS Optically Differential Reconfigurable Gate Array Reviewed

    Hironobu Morita, Minoru Watanabe

    2009 IEEE INTERNATIONAL CONFERENCE OF ELECTRON DEVICES AND SOLID-STATE CIRCUITS (EDSSC 2009)   119 - 122   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Demands for fast dynamic reconfiguration for programmable devices have increased because the fast dynamic reconfiguration can increase the number of functions on a gate array. This paper presents a proposal of a novel optically differential reconfigurable gate array architecture with a microelectromechanical system (MEMS) mirror array that enables high-speed reconfiguration by exploiting large-bandwidth optical connections between the MEMS mirror array and a programmable gate array. The MEMS mirror array is used as a holographic memory. Four configuration contexts can be programmed electrically and dynamically onto the MEMS mirror array as holographic memory information. A configuration procedure is executed by switching of both a laser array and a MEMS mirror array. This experiment has demonstrated a four-context 9 ns microelectromechanical reconfiguration for a programmable gate array.

    DOI: 10.1109/EDSSC.2009.5394174

    Web of Science

    researchmap

  • A 36-context optically reconfigurable gate array Reviewed

    Mao Nakajima, Minoru Watanabe

    2009 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC 2009)   412 - 415   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Fast reconfiguration and numerous reconfiguration contexts are two important features of dynamically reconfigurable devices. However, realizing both is difficult in current dynamically reconfigurable devices. Therefore, optically reconfigurable gate arrays (ORGAs) have been under development to solve this problem. Actually, ORGAs can realize a large virtual gate count over current VLSIs by combining a holographic memory storing numerous reconfiguration contexts with an optically reconfigurable gate array VLSI. To date, a 16-configuration-context ORGA has been developed. This paper presents a more advanced demonstration of a 36-configuration-context ORGA architecture, which is larger than the number of reconfiguration contexts of current dynamically reconfigurable devices. The 36-configuration-context ORGA architecture achieved 52 ns - 1.65 mu s fast reconfiguration. In addition, this paper presents a discussion of some technical issues for increasing the number of configuration contexts of a multi-context ORGA and future vision, based on results of several experiments.

    DOI: 10.1109/SOCDC.2009.5423866

    Web of Science

    researchmap

  • A 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array Reviewed

    Mao Nakajima, Minoru Watanabe

    IIH-MSP 2009 - 2009 5th International Conference on Intelligent Information Hiding and Multimedia Signal Processing   852 - 855   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Reconfiguration applications based on reconfigurable devices present new computational paradigms because increasing the reconfiguration frequency of such devices can enhance their activity and performance dramatically. Recently, optically reconfigurable gate arrays (ORGAs) with a holographic memory were developed, achieving important new advances in the areas of rapid reconfiguration and numerous reconfiguration contexts. Furthermore, optically differential reconfigurable gate arrays have been developed to accelerate optical reconfigurations of conventional ORGAs. This paper presents experimental results of the fastest 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array, along with discussion of the advantages of optically differential reconfigurable gate array architecture. © 2009 IEEE.

    DOI: 10.1109/IIH-MSP.2009.250

    Scopus

    researchmap

  • Fault tolerance analysis of MEMS holographic memory for DORGAs Reviewed

    Daisaku Seto, Minoru Watanabe

    20th Anniversary MHS 2009 and Micro-Nano Global COE - 2009 International Symposium on Micro-NanoMechatronics and Human Science   33 - 37   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits on its programmable gate array. Such dynamic reconfiguration is dependent upon two important features: fast reconfiguration and numerous contexts. However, fast reconfiguration and numerous contexts share a tradeoff relation on current VLSIs. Therefore, optically recon-figurable gate arrays (ORGAs) have been developed to resolve this dilemma. Among studies of such devices, this paper presents a demonstration of a dynamic ORGA (DORGA) with a MEMS holographic memory and its fault tolerance analysis results. ©2009 IEEE.

    DOI: 10.1109/MHS.2009.5352102

    Scopus

    researchmap

  • A lens-less imaging holographic memory writer system for a programmable optically reconfigurable gate array Reviewed

    Shinya Kubota, Minoru Watanabe

    2009 INTERNATIONAL CONFERENCE ON EMERGING TRENDS IN ELECTRONIC AND PHOTONIC DEVICES AND SYSTEMS (ELECTRO-2009)   112 - 115   2009

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, optically reconfigurable gate arrays (OR-GAs) consisting of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. Consequently, ORGAs with more than tera-gate capacity will be realized by exploiting the storage capacity of a holographic memory. However, in contrast to current field-programmable gate arrays (FPGAs), conventional ORGAs have an important shortcoming: they are not reprogrammable after fabrication because, to reprogram ORGAs, a holographic memory must be disassembled from its ORGA package and reprogrammed outside of the ORGA package using a holographic memory writer. Then it must be implemented onto the ORGA package with high precision beyond the capability of manual assembly. To remove that problem, this paper presents a new programmable optically reconfigurable gate array and its lens-less imaging holographic memory writer system. Furthermore, this paper presents discussion of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1109/ELECTRO.2009.5441161

    Web of Science

    researchmap

  • Allowable alignment errors of components in an optically reconfigurable gate array Reviewed

    H. Morita, M. Watanabe

    International Topical Meeting on Information Photonics   50 - 51   2008.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • Multi-speed configuration for ORGAs Reviewed

    M. Nakajima, M. Watanabe

    International Topical Meeting on Information Photonics   166 - 167   2008.11

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Liquid crystal holographic configurations for ORGAs Reviewed

    YAMAGUCHI N.

    APPLIED OPTICS   47 ( 26 )   4692 - 4700   2008.10

     More details

  • Liquid crystal holographic configurations for optically reconfigurable gate arrays Reviewed

    Naoki Yamaguchi, Minoru Watanabe

    APPLIED OPTICS   47 ( 26 )   4692 - 4700   2008.9

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:OPTICAL SOC AMER  

    An optically reconfigurable gate array (ORGA) system, which consists of an ORGA very large scale integration (VLSI), an easily rewritable liquid crystal holographic memory recording four configuration contexts, and a laser array, is proposed. Circuits on a gate array of the ORGA-VLSI can be programmed rapidly by exploiting large parallel connections between a holographic memory and a gate array VLSI; that programming can be executed even as it is being programmed. Consequently, the gate array can be switched from a certain circuit to another circuit instantaneously. We present a demonstration of the ORGA system and experimental results. (C) 2008 Optical Society of America

    Web of Science

    researchmap

  • Optical configuration using a silver-halide holographic memory including four configuration contexts Reviewed

    D. Seto, M. Watanabe

    International Conference on Solid State Devices and Materials   116 - 117   2008.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A 770ns holographic reconfiguration of a four-context DORGA Reviewed

    M. Nakajima, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   289 - 292   2008.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • MISC: Mono Instruction-Set Computer based on Dynamic Reconfiguration ? a 6502 Perspective- Reviewed

    F. Kobayashi, Y. Morikawa, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   222 - 228   2008.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A dynamic optically reconfigurable gate array - Perfect emulation Reviewed

    Daisaku Seto, Minoru Watanabe

    IEEE JOURNAL OF QUANTUM ELECTRONICS   44 ( 5-6 )   493 - 500   2008.5

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    This paper presents a perfect dynamic optically reconfigurable gate array (DORGA) architecture emulation using a holographic memory and a conventional ORGA-VLSI. In ORGAs, although a large virtual gate count can be realized by exploiting the large-capacity storage capability of a holographic memory, the actual gate count, which is the gate count of a programmable gate array VLSI, is important to increase the instantaneous performance. Nevertheless, in previously proposed ORGA-VLSIs, the static configuration memory to store a single configuration context consumed a large implementation area of the ORGA-VLSIs and prevented the realization of large-gate-count ORGA-VLSIs. Therefore, a DORGA architecture has been proposed in order to increase the gate density. It uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. However, to date, demonstration of a perfect optically reconfigurable architecture for DORGA-VLSIs has never been presented. Therefore, in this study, the DORGA architecture was perfectly emulated, and the performance, particularly the reconfiguration context retention time, was measured experimentally. The advantages of this architecture are discussed in relation to the results.

    DOI: 10.1109/JQE.2008.916705

    Web of Science

    researchmap

  • A 937.5 ns multi-context holographic configuration with a 30-75 mu s retention time Reviewed

    Mao Nakajima, Daisaku Seto, Minoru Watanabe

    2008 IEEE INTERNATIONAL SYMPOSIUM ON PARALLEL & DISTRIBUTED PROCESSING, VOLS 1-8   3502 - 3507   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. However in ORGAs, although a large virtual gate count can be realized by exploiting the large capacity storage capability of a holographic memory, the actual gate count, which is the gate count of a programmable gate array VLSI, is still important to increase the instantaneous performance. Nevertheless, in previously proposed ORGA-VLSIs, the static configuration memory to store a single configuration context consumed a large implementation area of the ORGA-VLSIs and prevented the realization of large-gate-count ORGA-VLSIs. Therefore, to increase the gate density, a dynamic optically reconfigurable gate array (DORGA) architecture has been proposed. It uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. However to date, although only a 1.83-1.89 ms single-context holographic configuration and a retention time of 3.495.61 s of DORGA architecture have been confirmed, the performance at nanosecond-scale reconfiguration with a multi-context DORGA architecture has never been analyzed. Therefore, this paper presents the experimental result of a 937.5 ns multi-context holographic configuration and a 30.75 mu s retention time of DORGA architecture. The advantages of this architecture are discussed in relation to the results of this study.

    DOI: 10.1109/IPDPS.2008.4536539

    Web of Science

    researchmap

  • Dynamic holographic reconfiguration on a four-context ODRGA Reviewed

    Mao Nakajima, Minoru Watanabe

    2008 INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS   173 - 178   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Reconfiguration applications based on reconfigurable devices present new computational paradigms because, by increasing the reconfiguration frequency of reconfigurable devices, their activity and performance can be improved dramatically. Recently, optically reconfigurable gate arrays (ORGAs) with a holographic memory have been developed to realize rapid reconfigurations and numerous reconfiguration contexts. In addition, optically differential reconfigurable gate arrays (ODRGAs) have been developed to accelerate optical reconfigurations of conventional ORGAs. However fast configuration experiments under multiple contexts exploiting the ODRGA architecture have never been reported. Therefore, this paper presents a four-context ODRGA system and experimental results that elucidate aspects of fast reconfiguration. The advantage of the ODRGA architecture is discussed based on those results.

    DOI: 10.1109/ASAP.2008.4580174

    Web of Science

    researchmap

  • Inversion/non-inversion dynamic optically reconfigurable gate array Reviewed

    Minoru Watanabe, Mao Nakajima

    PROCEEDINGS OF THE 12TH WSEAS INTERNATIONAL CONFERENCE ON CIRCUITS   249 - +   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:WORLD SCIENTIFIC AND ENGINEERING ACAD AND SOC  

    Up to now, an optically differential reconfigurable gate array taking a differential reconfiguration strategy and a dynamic optically reconfigurable gate array taking a photodiode memory architecture have been proposed. The differential reconfiguration strategy can increase the reconfiguration frequency, with no increase in laser power, compared to other optically reconfigurable gate arrays, but the differential reconfiguration strategy can not achieve a high gate count VLSI because of the area occupied by static configuration memory. On the other hand, the dynamic optically reconfigurable gate array can achieve a high-gate count VLSI, but its configuration speed is slower than that of the optically differential reconfigurable gate array using equivalent laser power. Therefore, to realize both advantages of rapid configuration and a high gate count, this paper presents a novel inversion/non-inversion dynamic optically reconfigurable gate array that combines both architectures. In this study, the effectiveness of the inversion/non-inversion optical configuration method is clarified through some experiments.

    Web of Science

    researchmap

  • Inversion/non-inversion zero-overhead dynamic optically reconfigurable gate array VLSI Reviewed

    Shinichi Kato, Minoru Watanabe

    PROCEEDINGS OF THE 2008 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY   377 - 380   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This paper presents a novel inversion / non-inversion zero-overhead dynamic optically reconfigurable gate array that can extract good factors from architectures of both optically differential reconfigurable gate arrays and dynamic optically reconfigurable gate arrays. A full VLSI design using a 0.35 mu m CMOS process technology is presented. Based on that presentation, three factors are discussed: gate density; reconfiguration frequency per unit of laser power, optical power consumption.

    DOI: 10.1109/FPT.2008.4762422

    Web of Science

    researchmap

  • An 11,424-gate dynamic optically reconfigurable gate array VLSI Reviewed

    Mao Nakajima, Minoru Watanabe

    PROCEEDINGS OF THE 2008 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY   293 - 296   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A DORGA architecture has been proposed to increase gate density It uses the junction capacitance of photo-diodes as dynamic memory, thereby obviating the static configuration memory. This paper presents the world's largest 11,424 gate-count dynamic optically reconfigurable gale array (DORGA) VLSI fabricated on a 96.04 mm(2) chip using a 0.35 mu m three-metal CMOS process technology and a perfect optical system using a holographic memory. The advantages of this architecture arc, discussed in relation to the results described herein.

    Web of Science

    researchmap

  • An analog reconfiguration-period adjustment technique for optically reconfigurable gate arrays Reviewed

    Takayuki Mabuchi, Minoru Watanabe

    PROCEEDINGS OF THE 2008 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY   289 - 292   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    In previously proposed ORGAs, the optical reconfiguration period was designed to be constant by assuming a worst-case reconfiguration speed. However, the diffraction efficiency of a holographic memory differs depending on the number of bright bits included in a configuration context. Therefore, previous ORGAs can not fully exploit reconfiguration performance. For that reason, this paper presents a proposal for an analog reconfiguration-period adjustment technique for ORGAs to reduce each reconfiguration period. The advantages are then discussed herein based on experimental results obtained using an ORGA system on which the technique is adopted.

    DOI: 10.1109/FPT.2008.4762400

    Web of Science

    researchmap

  • An acceleration and optimization method for optical reconfiguration Reviewed

    Minoru Watanabe, Naoki Yamaguchi

    21ST INTERNATIONAL CONFERENCE ON VLSI DESIGN: HELD JOINTLY WITH THE 7TH INTERNATIONAL CONFERENCE ON EMBEDDED SYSTEMS, PROCEEDINGS   607 - 612   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    Optically Reconfigurable Gate Arrays (ORGAs), by exploiting the large storage capacity of holographic memory, offer the possibility of providing a virtual gate count that is much larger than those of currently available VLSI circuits. Because circuits implemented on a gate array must often be changed using virtual circuits stored in a holographic memory, rapid reconfiguration is necessary to reduce the reconfiguration overhead. A simple means to realize a short reconfiguration time in ORGAs is to implement a high-power laser array. However such an array presents the disadvantages of high power consumption, large implementation space, high cost, and so on. Therefore, this paper presents an acceleration method to increase ORGAs' reconfiguration frequency without the necessity for any increase of laser power This technique also includes optimization between the number of reconfiguration contexts and the reconfiguration frequency. The description in this paper clarifies the advantages using simulation and experimental results.

    DOI: 10.1109/VLSI.2008.26

    Web of Science

    researchmap

  • A dynamic optically reconfigurable gate array with a silver-halide holographic memory Reviewed

    Daisaku Seto, Minoru Watanabe

    Proceedings - IEEE Computer Society Annual Symposium on VLSI: Trends in VLSI Technology and Design, ISVLSI 2008   511 - 514   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    To increase gate density, a dynamic optically reconfig-urable gate array (DORGA) architecture has been proposed that uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. To date, estimation of the DORGA architecture using a liquid crystal holographic memory has been conducted, thereby demonstrating its availability. However, because the resolution of the liquid crystal holographic memory is very low and because the storable configuration contexts are numerically limited to four, that estimation cannot be considered a practical experiment. Therefore, this paper presents a practical demonstration of the DORGA architecture using a silver-halide holographic memory that can store over 3,000 configuration contexts. The DORGA architecture performance, in particular the reconfiguration context retention time, was analyzed experimentally. The advantages of this architecture are discussed in relation to the results of this study. ©2008 IEEE.

    DOI: 10.1109/ISVLSI.2008.94

    Scopus

    researchmap

  • Defect tolerance of holographic configurations in ORGAs Reviewed

    Kouji Shinohara, Minoru Watanabe

    2008 IEEE INTERNATIONAL SYMPOSIUM ON PARALLEL & DISTRIBUTED PROCESSING, VOLS 1-8   3488 - 3495   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array to realize fast reconfiguration and numerous reconfiguration contexts. Along with such advantages, ORGAs have high defect tolerance. They consist simply of a holographic memory, a laser diode array, and a gate array VLSI. Even if a gate array VLSI includes defective areas, the perfectly parallel programmable capability of ORGAs enables perfect avoidance of those defective areas through alternative use of other non-defective areas. Moreover holographic memories to store contexts are known to have high defect tolerance because each bit of a reconfiguration context can be generated from the entire holographic memory. Moreover the damage of some fraction rarely affects its diffraction pattern or a reconfiguration context. Therefore, ORGAs are very robust against component defects in devices such as laser arrays, gate arrays, and holographic memory, and are particularly useful for space applications, which require high reliability. However, to date, the degree to which defects in a holographic memory affects holographic configurations has never been analyzed. Therefore, this paper describes analysis results of defect limitations of holographic configurations.

    DOI: 10.1109/IPDPS.2008.4536537

    Web of Science

    researchmap

  • An optical reconfiguration system with four contexts Reviewed

    Naoki Yamaguchi, Minoru Watanabe

    21ST INTERNATIONAL CONFERENCE ON VLSI DESIGN: HELD JOINTLY WITH THE 7TH INTERNATIONAL CONFERENCE ON EMBEDDED SYSTEMS, PROCEEDINGS   601 - 606   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. It is noteworthy that ORGA-VLSIs which can be reconfigured in nanoseconds without any overhead have already been fabricated. However to date, no multiholographic reconfiguration system that is suitable for such rapidly reconfigurable ORGA-VLSIs without any overhead has ever been developed. As the first step toward realizing such a device, a four-context optical system is demonstrated experimentally using a liquid crystal spatial light modulator and a He-Ne laser This paper describes those experimental results and plans for future work.

    DOI: 10.1109/VLSI.2008.27

    Web of Science

    researchmap

  • Programmable Optically Reconfigurable Gate Array Architecture using a PAL-SLM Reviewed

    Shinya Kubota, Minoru Watanabe

    2008 IEEE/SICE INTERNATIONAL SYMPOSIUM ON SYSTEM INTEGRATION   100 - 104   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs) consisting of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve huge virtual gate counts that is much larger than those of currently available VLSIs. Using ORGA architecture, greater than 1 tera gate count VLSIs are possible by exploiting the storage capacity of a holographic memory. Conventional ORGAs have only one shortcoming compared with current field programmable gate arrays (FPGAs) : they are not reprogrammable after their fabrication because, to reprogram ORGAs, a holographic memory must be disassembled from its ORGA package, reprogrammed outside of the ORGA package using a holographic memory writer, and implemented into the ORGA package with high precision beyond that available by manual assembly. To improve that shortcoming, this paper presents the world's first programmable ORGA architecture and experimental results. Furthermore, in light of those experimental results, this paper presents discussion of the availability of this architecture and future plans.

    DOI: 10.1109/SI.2008.4770434

    Web of Science

    researchmap

  • Optical configuration of an 11,424 gate-count dynamic optically reconfigurable gate array using a VCSEL Reviewed

    Daisaku Seto, Minoru Watanabe

    2008 IEEE/SICE INTERNATIONAL SYMPOSIUM ON SYSTEM INTEGRATION   95 - 99   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Recently, to realize large real-time systems, demands for fast computation on large VLSI have continued to increase. An optically reconfigurable gate array has been developed to realize large virtual gates. As part of that research effort, the world's largest 11,424 gate-count dynamic optically reconfigurable gate array VLSI chip, which is based on a concept using junction capacitance of photodiodes as configuration memory, has been fabricated. The size and process of the VLSI chip are, respectively, a 96.04 mm(2) and a 0.35 mu m-3 metal CMOS process technology. To clarify the availability of the VLSI, this paper presents an experimental result of along retention time of its photodiode memory architecture.

    DOI: 10.1109/SI.2008.4770433

    Web of Science

    researchmap

  • Analysis of retention time under multi-configuration on a DORGA Reviewed

    Daisaku Seto, Minoru Watanabe

    IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   131 - 134   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. Up to now, dynamic optically reconfigurable architecture has been proposed to increase the gate count of the ORGA-VLSI part, which uses photodiodes as dynamic memory to store a configuration context and perfectly removes static configuration memories. Consequently, extremely high gate count ORGAs have been realized. However, in this architecture, since background diffraction light of configuration contexts reduces the retention time of circuit information stored in junction capacitances of photodiodes, it has remained a concern that under multi-configuration, an optical configuration can reduce the retention time of other circuits that have already been programmed before the configuration and are functioning on a gate array. This paper clarifies that the dynamic optically reconfigurable architecture is effective even under multi-configuration.

    DOI: 10.1109/SOCC.2008.4641495

    Web of Science

    researchmap

  • A 9-context Optically Reconfigurable Gate Array Reviewed

    Takayuki Mabuchi, Minoru Watanabe

    ISOCC: 2008 INTERNATIONAL SOC DESIGN CONFERENCE, VOLS 1-3   1 - 4   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. Up to now, four-context ORGAs have been demonstrated. This paper presents the first 9-context ORGA architecture that uses spreading beams of Vertical Cavity Surface Emitting Lasers (VCSELs) with no lenses. This paper describes experimental results and plans for future work.

    DOI: 10.1109/SOCDC.2008.4815560

    Web of Science

    researchmap

  • A double or triple module redundancy model exploiting dynamic reconfigurations Reviewed

    Kouji Shinohara, Minoru Watanabe

    PROCEEDINGS OF THE 2008 NASA/ESA CONFERENCE ON ADAPTIVE HARDWARE AND SYSTEMS   114 - 121   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    Majority voting is a commonly used approach to increase system reliability. Standard triple-module-redundancy (TMR) methods are frequently used in space applications. Using these methods, triple modules and voting circuits are implemented onto an Application Specific Integrated Circuit (ASIC) or an FPGA. When a single event upset occurs, the voting circuit neglects the failure value of a module receiving the single event upset and takes a correct value of the other two modules not receiving it. However, the triple-module implementation requires a large implementation area on VLSIs. Therefore, to reduce the area of TMR implementation, this paper presents a novel double or triple module redundancy (DTMR) method for dynamically reconfigurable devices using a design example of a state machine. Furthermore, this paper presents experimental results of the method using a highly reliable optically reconfigurable gate array.

    DOI: 10.1109/AHS.2008.67

    Web of Science

    researchmap

  • Multi-optical configuration using spreading beams Reviewed

    Naoki Yamaguchi, Minoru Watanabe

    2008 51ST MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2   386 - 389   2008

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. For the laser diode array, a conventional ORGA always required collimated beams, necessitating the use of some lenses and its accurate alignment. Therefore, this paper presents the very compact and simple architecture of a multi-context ORGA that uses spreading beams of Vertical Cavity Surface Emitting Lasers (VCSELs) with no lenses. This paper describes experimental results of implementation of two configuration contexts and their reconfigurations, in addition to plans for future work.

    DOI: 10.1109/MWSCAS.2008.4616817

    Web of Science

    researchmap

  • Optimization of reconfiguration speed control bits for an Optically Reconfigurable Gate Array Reviewed

    M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   2007.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • 272 gate count optically differential reconfigurable gate array VLSI Reviewed

    M. Watanabe, T. Shiki, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   2007.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Power Consumption Reduction Method of Dynamic Optically Reconfigurable Gate Array VLSIs Reviewed

    M. Watanabe, F. Kobayashi

    IEEE Symposium on Low-Power and High-Speed Chips   145   2007.4

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Superimposing technique of reconfiguration contexts Reviewed

    M. Watanabe, F. Kobayashi

    ACM/SIGDA International Symposium on Field Programmable Gate Arrays   227 - 228   2007.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Asynchronous Sampling Rate Converter by Fourier Interpolation in the Time Domain Reviewed

    INOUE Manabu, KOBAYASHI Fuminori, WATANABE Minoru

    Transactions of the Society of Instrument and Control Engineers   43 ( 2 )   145 - 152   2007.2

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Society of Instrument and Control Engineers  

    A time-domain SRC, sampling rate converter, using Fourier interpolation to achieve less gate count than in frequency domain is proposed. The new SRC is implemented in 1/150 of the circuit size of conventional SRC with moderate performance using filters. It can also be used as back-end for filter-type SRC to achieve high performance efficiently.

    DOI: 10.9746/ve.sicetr1965.43.145

    CiNii Article

    researchmap

  • Superimposing technique of reconfiguration contexts for increasing reconfiguration speed Reviewed

    M. Watanabe, F. Kobayashi

    Mobile Computing Hardware Architectures Design Symposium   2007.1

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • DORGA holographic memory architecture Reviewed

    Minoru Watanabe, Shoutarou Fukagawa, Fuminori Kobayashi

    2007 INTERNATIONAL CONFERENCE ON MICROELECTRONICS   222 - +   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. However, in ORGAs, although a large virtual gate count can be realized by exploiting a large capacity storage capability of a holographic memory, the actual gate count, which is the gate count of a programmable gate array VLSI, is stilt important to increase the instantaneous performance. In previously proposed ORGA-VLSIs, the static configuration memory to store a single configuration context occupied a large implementation area of the ORGA-VLSIs and thereby prevented the realization of large-gate-count ORGA-VLSIs. Therefore, to increase the gate density, a dynamic optically reconfigurable gate array (DORGA) architecture was proposed. It uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. This paper presents a perfect DORGA architecture including a holographic memory. The performance of the DORGA architecture, in particular the reconfiguration context retention time, was analyzed experimentally. The advantages of this architecture are discussed relative to the results of this study.

    DOI: 10.1109/ICM.2007.4497743

    Web of Science

    researchmap

  • Scaling rule of optically differential reconfigurable gate array VLSIs Reviewed

    Minoru Watanabe, Takenori Shiki, Fuminori Kobayashi

    2007 50TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3   101 - 104   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Dynamic reconfigurable devices present new computational paradigms because programmable devices' activity and performance can be improved dramatically merely by increasing the reconfiguration frequency. Therefore, the reconfiguration time and reconfiguration overhead of next-generation programmable devices are extremely important parameters. To realize zero-overhead and short reconfiguration, an Optically Differential Reconfigurable Gate Array (ODRGA) VLSIs were developed. However, up to now, the scaling rule of ODRGAs has never been clarified. This paper describes the designs of ODRGA-VLSIs using 0.18 mu m and 0.35 mu m CMOS processes and presents discussion of the scaling rule of ODRGAs using layout results.

    DOI: 10.1109/MWSCAS.2007.4488553

    Web of Science

    researchmap

  • A 62.5 ns holographic reconfiguration of an optically differential reconfigurable gate array Reviewed

    Mao Nakajima, Minoru Watanabe

    ICFPT 2007: INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY, PROCEEDINGS   297 - 300   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    To date, holographic configuration speeds have remained limited to 16 mu s because of issues related to the architecture of optically reconfigurable gate array VLSIs (ORGA-VLSIs). Therefore, to improve the issue, optically differential reconfigurable gate array VLSIs (ODRGA-VLSIs) have been developed and have achieved zero-overhead and nanosecond optical reconfiguration. Moreover the architecture of an ODRGA-VLSI has the advantage of accelerating the reconfiguration speed compared to that of other ORGAs. However, nanosecond holographic configurations and, in particular rapid holographic reconfiguration exploiting the advantages of ODRGA-VLSIs have not been reported. Therefore, this paper presents results of the world's fastest 62.5 ns holographic reconfiguration, exploiting advantages of the ODRGA-VLSI.

    DOI: 10.1109/FPT.2007.4439270

    Web of Science

    researchmap

  • An 11,424 gate-count zero-overhead dynamic optically reconfigurable gate array VLSI Reviewed

    Minoru Watanabe

    20TH ANNIVERSARY IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   75 - 78   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A zero-overhead dynamic optically reconfigurable gate array (ZO-DORGA), based on a concept using junction capacitance of photodiodes and load capacitance of gates constructing a gate array as configuration memory, has been proposed to realize both a high gate-count and zero-overhead rapid reconfiguration. This paper presents the world's largest 11,424 gate-count zero-overhead VLSI chip fabricated on a 96.04 mm(2) chip using 0.35 mu m-3 metal CMOS process technology. The optical reconfiguration circuit, the gate array structure, the CAD layout, and the performance of ZO-DORGA-VLSI are described, with reference to experimental results related to the reconfiguration period and retention time.

    DOI: 10.1109/SOCC.2007.4545430

    Web of Science

    researchmap

  • A 0.35um CMOS 1,632-gate-count zero-overhead dynamic optically reconfigurable gate array VLSI Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    PROCEEDINGS OF THE ASP-DAC 2007   124 - +   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI (ZO-DORGA-VLSI) has been developed. It is based on a concept using junction capacitance of photodiodes and load capacitance of gates constructing a gate array as configuration memory and removing static memory function to store a context. In this paper, the performance of a 1,632 ZO-DORGA-VLSI, which was fabricated using a 0.35 mu m - 4.9 mm square CMOS process chip, is presented. In addition, the design of an over 10,000 ZO-DORGA-VLSI is presented.

    DOI: 10.1109/ASPDAC.2007.357972

    Web of Science

    researchmap

  • Optically differential reconfigurable gate array Reviewed

    Mototsugu Miyano, Minoru Watanabe, Fuminori Kobayashi

    ELECTRONICS AND COMMUNICATIONS IN JAPAN PART II-ELECTRONICS   90 ( 11 )   132 - 139   2007

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:SCRIPTA TECHNICA-JOHN WILEY & SONS  

    Recent years have seen the development of optically reconfigurable gate arrays, which can be reconfigured using light at high speeds that cannot be implemented with electrical wiring. However, optically reconfigurable gate arrays have reconfiguration speeds of 16 to 20 mu s, which is fast compared to FPGAs (Field Programmable Gate Arrays), but high-speed reconfiguration, approaching the operating clock of a mounted circuit, has not been implemented. Also, partial reconfiguration, which is indispensable for frequent dynamic reconfiguration, has not been considered. The authors propose an Optically Differential Reconfigurable Gate Array (ODRGA), which can be reconfigured at high speeds close to the operating clock of a mounted circuit and which allows partial reconfiguration of any zone in bit units. This paper includes an overview of ODRGA and introduces the optically differential reconfigurable architecture, with which arbitrary, partial reconfiguration is possible, as well as the optically reconfigurable architecture enabling highspeed optical reconfiguration. Then, it is demonstrated that high-speed optical reconfiguration at 200 ns, the world's fastest system-level optical reconfiguration, is possible with an optical system using a pulse laser diode wherein nanosecond optical reconfiguration is possible with a prototype stand-alone VLSI chip. Finally, it is made clear that, in the future, improvement to the optical components will make possible nanosecond high-speed optical reconfiguration at the system level as well. (C) 2007 Wiley Periodicals, Inc.

    DOI: 10.1002/ecjb.20420

    Web of Science

    researchmap

  • Reconfiguration performance analysis of a dynamic optically reconfieurable gate array architecture Reviewed

    Daisaku Seto, Minoru Watanabe

    ICFPT 2007: INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY, PROCEEDINGS   265 - 268   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    To increase gate density, a dynamic optically reconfigurable gate array (DORGA) architecture has been proposed that uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. However, to date, estimation of a perfect optically reconfigurable architecture for DORGA-VLSIs has never been presented. This paper presents a perfect DORGA architecture including a holographic memory. The performances of the DORGA architecture, in particular the reconfiguration context retention time, were analyzed experimentally. The advantages of this architecture are discussed in relation to the results of this study.

    DOI: 10.1109/FPT.2007.4439262

    Web of Science

    researchmap

  • Reconfigurations of a dynamic optically reconfigurable architecture under a constant laser exposure Reviewed

    Minoru Watanabe, Daisaku Seto

    EDSSC: 2007 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID-STATE CIRCUITS, VOLS 1 AND 2, PROCEEDINGS   405 - 408   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. Up to now, we have proposed a dynamic optically reconfigurable architecture for ORGAs to increase the gate count of the VLSI part, which uses photodiodes as dynamic memory to store a configuration context and perfectly removes static configuration memory. In this architecture, suitable laser exposure time for each implementation circuit is different from each other. Nevertheless, the laser exposure time is always designed as a constant to simplify its optical reconfiguration architecture and procedure. So, the affect for retention time of implementation circuits under such the condition was concerned, however, which has never been analyzed. Therefore, this paper experimentally presents that the dynamic optically reconfigurable architecture is available under a constant laser exposure condition.

    DOI: 10.1109/EDSSC.2007.4450148

    Web of Science

    researchmap

  • A multi-context holographic memory recording system for Optically Reconfigurable Gate Arrays Reviewed

    Rio Miyazaki, Minoru Watanabe, Fuminori Kobayashi

    Proceedings - 21st International Parallel and Distributed Processing Symposium, IPDPS 2007; Abstracts and CD-ROM   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Optically Reconfigurable Gate Arrays (ORGAs) offer the possibility of providing a virtual gate count that is much larger than those of currently available VLSIs by exploiting the large storage capacity of a holographic memory. The first ORGA was developed to achieve rapid reconfiguration and a number of reconfiguration contexts
    it consisted of a gate array VLSI, a holographic memory, and a laser diode array. The ORGA achieved a 16 μs to 20 μs reconfiguration period that was faster than that of FPGAs, with 100 reconfiguration contexts. However, the ORGA requires the gate array to halt during reconfiguration. Therefore, the ORGA can not be reconfigured frequently because of the associated reconfiguration overhead. On the other hand, new ORGA-VLSIs that have less than 10 ns reconfiguration capability without any related overhead have already been fabricated. However, to date, a multi-holographic reconfiguration system that is suitable for such rapidly reconfigurable ORGA-VLSIs without any overhead has never been developed. For such realization, this paper proposes a four-context ORGA architecture and a multi-context holographic memory recording system used for it. In addition, experimentally demonstrated results of recording a holographic memory and reconfiguring an ORGA-VLSI are described. © 2007 IEEE.

    DOI: 10.1109/IPDPS.2007.370391

    Scopus

    researchmap

  • Holographic memory reconfigurable VLSI Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11   401 - 404   2007

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration for numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed by a laser diode array. It is noteworthy that ORGA-VLSIs that can be reconfigured in less than 10 ns without any overhead have already been fabricated. However, up to now, a multi-holographic reconfiguration system that is suitable for such fast-reconfigurable ORGA-NLSIs without any overhead has never been developed. As the first step toward such realization, a multi-context component is demonstrated experimentally using a liquid crystal spatial light modulator and a pulse laser This paper describes experimental results and plans for future work.

    DOI: 10.1109/ISCAS.2007.378474

    Web of Science

    researchmap

  • Manufacturing-defect tolerance analysis of optically reconfigurable gate arrays, Reviewed

    M. Watanabe, F. Kobayashi

    World Scientific and Engineering Academy and Society Transactions on Signal Processing   11 ( 2 )   1457 - 1464   2006.11

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    researchmap

  • Characteristics Improvement of PLLs Using Phase Interpolation Reviewed

    INOUE Manabu, KOBAYASHI Fuminori, WATANABE Minoru

    Transactions of the Society of Instrument and Control Engineers   42 ( 10 )   1175 - 1180   2006.10

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Society of Instrument and Control Engineers  

    Normal PLL (Phase Locked Loop) compares phases of reference and input at the time of their positive transition. We propose a new PLL using phase interpolation based on a counter with a high-frequency internal clock. The PLL can compare phases more than once a cycle of reference and input, thus reducing jitter and improving responsiveness. Also we optimize implementation of phase interpolation, to improve circuit size and maximum operating frequency, even if the circuit compares phases many time a cycle.

    DOI: 10.9746/sicetr1965.42.1175

    CiNii Article

    researchmap

  • A logic synthesis and place and route environment for ORGAs Reviewed

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   237 - 238   2006.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A High-Density Dynamic Optically Reconfigurable Gate Array VLSI Reviewed

    WATANABE Minoru, KOBAYASHI Fuminori

    The IEICE transactions on information and systems   J89-D ( 6 )   1082 - 1090   2006.6

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://hdl.handle.net/10228/4570

  • Shield effect analysis for a gate array on an Optically Reconfigurable Gate Array Reviewed

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   239 - 240   2006.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • Differential Reconfiguration Architecture suitable for a Holographic Memory Reviewed

    M. Watanabe, M. Miyano, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   198 - 203   2006.6

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • Dynamic optically reconfigurable gate array Reviewed

    M Watanabe, F Kobayashi

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   45 ( 4B )   3510 - 3515   2006.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:INST PURE APPLIED PHYSICS  

    Optically reconfigurable gate arrays (ORGAs) can readily enable both fast reconfiguration and numerous reconfiguration contexts using an optical holographic memory and optical wide-band reconfiguration connections. Such devices present the possibility of large virtual gate-count very large scale integrations (VLSIs). However, the real gate-count of the VLSI part of the devices is too small-only 80. Moreover, the reconfiguration speed is not sufficiently fast: 16 to 20 mu s. For those reasons, this paper clarifies the architecture issues and presents a new architecture of a dynamic optically reconfigurable gate array (DORGA) to improve them. In addition, a comparison is made of results obtained using the previously proposed devices and DORGAs under the same environment. Finally, this paper presents a new design of a 51,272-gate-count DORGA with the new architecture.

    DOI: 10.1143/JJAP.45.3510

    Web of Science

    researchmap

  • A 1,632 gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    RECONFIGURABLE COMPUTING: ARCHITECTURES AND APPLICATIONS   3985   268 - 273   2006

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:SPRINGER-VERLAG BERLIN  

    A Zero-Overhead Dynamic Optically Reconfigurable Gate Array (ZO-DORGA), based on a concept using junction capacitance of photodiodes and load capacitance of gates constructing a gate array as configuration memory, has been proposed to realize a single instruction set computer that requires zero-overhead fast reconfiguration. To date, although the concept and architecture have been proposed and some simulation results of designs have been presented, a ZO-ORGA VLSI chip has never been fabricated. In this paper, the first 1,632 gate-count zero-overhead VLSI chip fabricated using 0.35 um CMOS process technology is presented. The 1,632 ZO-DORGA-VLSI is not only the first prototype VLSI chip; it is also the largest gate-count ORGA. Such a large gate count ORGA had never been fabricated until this study. The performance of ZO-DORGA-VLSI is clarified and discussed using experimental results.

    Web of Science

    researchmap

  • A dynamic differential reconfiguration circuit for optically differential reconfigurable gate arrays Reviewed

    Minoru Watanabe, Ryuji Fujime, Fuminori Kobayashi

    IEEE MWSCAS'06: PROCEEDINGS OF THE 2006 49TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL II   94 - +   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    An Optically Differential Reconfigurable Gate Array VLSI (ODRGA-VLSI) presents the advantage that it can be reconfigured more rapidly than other optically reconfigurable gate arrays (ORGAs). In an ORGA, reconfiguration contexts are stored in a holographic memory, which is addressed by a laser array, and read as light. The reconfiguration speed is proportional to the light intensity received in each photodiode on an ORGA-VLSI. In addition, the light intensity from a holographic memory is inversely proportional to the number of its lighting bits corresponding to bits of '1' from the diffraction characteristic of a holographic memory. Therefore, the bit-by-bit programmability of ODRGA-VLSI allows more rapid reconfiguration than for other ORGAs because the light power can be focused onto a reprogrammed area instead of a much larger area. However, the reconfiguration circuit to support bit-by-bit reconfiguration occupies a large implementation area of an ODRGA-VLSI chip. Therefore, a new dynamic reconfiguration circuit has been introduced into ODRGA-VLSIs to reduce the implementation area to realize a high gate-count ODRGA-VLSI. In this paper, the VLSI implementation of the dynamic reconfiguration circuit is shown using 0.35 mu m CMOS process technology; a comparison to results from other optical reconfiguration circuits is presented.

    DOI: 10.1109/MWSCAS.2006.382216

    Web of Science

    researchmap

  • Over-sampling PLL for low-jitter and responsive clock synchronization Reviewed

    Manabu Inoue, Furninori Kobayashi, Minoru Watanabe

    2006 INTERNATIONAL SYMPOSIUM ON COMMUNICATIONS AND INFORMATION TECHNOLOGIES,VOLS 1-3   809 - +   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Phase Locked Loops (PLLs) are widely used in communication and required to provide low-jitter and fast frequency/phase locking capabilities. For improving these capabilities of PLL, an over-sampling phase detector (PD) using phase interpolation based on a counter with a high-frequency internal clock is proposed. PLL using normal PD compares phases of reference or input signal with its output at the time of their positive transition, but this PLL using over-sampling PD can compare phases more than once a cycle of reference. Thus, the PLL features less jitter than PLL using normal PD, and improved responsiveness. Also we optimized implementation of phase interpolation, to improve maximum operating frequency and circuit size. Experimental results including two jitter characteristics, vital in communication, are shown.

    DOI: 10.1109/ISCIT.2006.339842

    Web of Science

    researchmap

  • Optically Reconfigurable Gate Arrays vs. ASICs Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    2006 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS   1164 - +   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    An Optically Reconfigurable Gate Array (ORGA) is a type of Field Programmable Gate Array (FPGA) that can be reconfigured optically in a very short time and with many reconfiguration contexts by combining a holographic memory and a laser array on a gate-array VLSI. An ORGA can be considered as a type of next-generation three-dimensional (31)) VLSI chip. The developments of high-gate count ORGA-VLSIs, optical reconfiguration systems with a holographic memory, and laser arrays have been advanced recently. However, ORGA performance has never been discussed in comparison with current Application Specific Integrated Circuits (ASICs) or full custom VLSIs. In this paper, the performance difference between ORGAs and ASICs is clarified; the future vision of the ORGA is also discussed.

    DOI: 10.1109/APCCAS.2006.342348

    Web of Science

    researchmap

  • Hybrid sample rate converter with 110dB SNR and 1/10 less logic gates Reviewed

    Manabu Inoue, Fuminori Kobayashi, Minoru Watanabe

    2006 IEEE INTERNATIONAL CONFERENCE ON ELECTRO/INFORMATION TECHNOLOGY   432 - 436   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    An SRC, sampling rate converter, consisting of conventional filter-type SRC and time-domain SRC using Fourier interpolation algorithm is proposed. Filter portion realizes over-sampling, and its output is interpolated by the Fourier portion. The SRC achieves noise level of as low as -110dB for all frequencies, and its gate count is about 1000000 FPGA logic cells.

    DOI: 10.1109/EIT.2006.252121

    Web of Science

    researchmap

  • Reconfiguration speed adjustment technique for ORGAs with a holographic memory Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    2006 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS, PROCEEDINGS   917 - 922   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array. The gate array of ORGAs is optically reconfigured using diffraction patterns from a holographic memory that is addressed by a laser diode array. In previously proposed ORGAs, the optical reconfiguration speed has been designed to be constant by assuming a worst-case reconfiguration speed. However, the diffraction efficiency of a holographic memory varies depending on the pattern of reconfiguration contexts that is recorded in it. Therefore, this paper proposes a reconfiguration speed adjustment technique for ORGAs to accelerate the reconfiguration speed. In addition, the advantages are discussed from some simulation results of a holographic memory and the experimental results of a fabricated gate array VLSI.

    DOI: 10.1109/FPL.2006.311344

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array with a holographic memory Reviewed

    Minoru Watanabe, Mototsugu Miyano, Fuminori Kobayashi

    20th International Parallel and Distributed Processing Symposium, IPDPS 2006   2006   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE Computer Society  

    Optically Reconfigurable Gate Arrays (ORGAs) offer the possibility of providing a virtual gate count that is much larger than those of currently available VLSIs by exploiting the large storage capacity of holographic memory. We developed an Optically Differential Reconfigurable Gate Array (ODRGA-VLSI) with no overhead and fast reconfiguration capability. This paper presents the results of development of a perfect optical reconfigurable system with the ODRGA-VLSI chip and holographic memory. Experimental results of the reconfiguration procedure and circuit performance on a gate array are also presented. © 2006 IEEE.

    DOI: 10.1109/IPDPS.2006.1639478

    Scopus

    researchmap

  • Power consumption advantage of a dynamic optically reconfigurable gate array Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    20th International Parallel and Distributed Processing Symposium, IPDPS 2006   2006   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE Computer Society  

    Optically reconfigurable gate arrays (ORGAs) are a type of field programmable gate array (FPGA). However, unlike FPGAs, an ORGA can quickly be reconfigured optically using external optical memories and optical connections. Recently, various types of ORGAs have been developed. However, their gate counts were not satisfactory compared with those of FPGAs. Therefore, to improve the gate density of conventional ORGAs, a dynamic ORGA (DORGA) architecture that can remove static memory functions to store a configuration context has been proposed. The DORGA architecture offers not only the advantages of a high gate count, but also the advantage of low reconfiguration power consumption. To date, its power consumption has never been clarified. For that reason, this paper presents measurement results of the optical reconfiguration power consumption of a DORGA-VLSI chip. In addition, the power consumption advantages of the DORGA architecture are clarified through comparison with other ORGAs. © 2006 IEEE.

    DOI: 10.1109/IPDPS.2006.1639490

    Scopus

    researchmap

  • A 476-gate-count dynamic optically reconfigurable gate array VLSI chip in a standard 0.35 mu m CMOS technology Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS   108 - +   2006

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Web of Science

    researchmap

  • A 51,272-gate-count Dynamic Optically Reconfigurable Gate Array in a standard 0.35um CMOS Technology Reviewed

    M. Watanabe, F. Kobayashi

    International Conference on Solid State Devices and Materials,   336 - 337   2005.9

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • Fourier/Filter Hybrid Sampling Rate Converter Reviewed

    M. Inoue, F. Kobayashi, M. Watanabe

    SICE Annual Conference   176 - 179   2005.8

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    researchmap

  • A dynamic optically reconfigurable gate array using dynamic method Reviewed

    M. Watanabe, F. Kobayashi

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science   50 - 58   2005.2

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • An Optically Differential Reconfigurable Gate Array VLSI chip with a dynamic reconfiguration circuit Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    Proceedings - 19th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2005   2005   145   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    An Optically Differential Reconfigurable Gate Array (ODRGA) is a type of Field Programmable Gate Array (FPGA), but its gate array can be reconfigured optically in less than 6 ns. We have fabricated a 68 gate-count ODRGA. However, optical differential reconfiguration circuits, which are capable of optical detection of configuration contexts and which can support reconfiguration of an arbitrary part of its gate array bit-by-bit, occupy up to 47% of the implementation area of ODRGA-VLSI chip and prevent realization of a high gate-count ODRGA. Therefore, a dynamic optical differential reconfiguration circuit was developed to reduce the implementation area of optical reconfiguration circuits. It has been evaluated separately. This paper presents the first 476 gate count ODRGA-VLSI chip with a standard 0.35 μm 3-metal CMOS process technology using an improved dynamic optical differential reconfiguration circuit. In addition, the dynamic reconfiguration frequency and performance of logic blocks are shown using HSPICE simulation results. Finally, this paper presents an estimation of the use of a standard 0.35 μm 3-metal 14.2 × 14.2 mm chip.

    DOI: 10.1109/IPDPS.2005.105

    Scopus

    researchmap

  • A 16,000-gate-count optically reconfigurable gate array in a standard 0.35 mu m CMOS technology Reviewed

    M Watanabe, F Kobayashi

    2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS   1214 - 1217   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Up to now, we have fabricated 68-gate-count Optically Reconfigurable Gate Arrays (ORGAs), the reconfiguration period of which has been confirmed as less than 10 us. As the next step, we have begun development of high-gate-count ORGAs. The new ORGA-VLSI chip can achieve a 16,000-gate-count through reduction of photodiode size, photodiode spacing, and through introduction of a small optical reconfiguration circuit, that do not exceed the resolution of available optical components. This paper presents the new design of a 16,000-gate-count ORGA using a standard 0.35 mu m 3-Metal CMOS process technology. In addition, photodiode characteristics are extracted from experimental results using an estimation chip and an evaluation of optical reconfiguration circuits using HSPICE simulation.

    DOI: 10.1109/ISCAS.2005.1464812

    Web of Science

    researchmap

  • A zero-overhead dynamic optically reconfigurable gate array Reviewed

    M Watanabe, F Kobayashi

    FPT 05: 2005 IEEE INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE TECHNOLOGY, PROCEEDINGS   297 - 298   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This paper presents a zero-overhead Dynamic Optically Reconfigurable Gate Array (DORGA) that uses the load capacitance of gates to construct a gate array to maintain its state during optical reconfiguration, and uses junction capacitance of photodiodes as configuration memory. It improves a reconfiguration overhead problem of the previously proposed DORGA. The reconfiguration procedure is executable without the overhead of optical reconfiguration by reducing the dispersion delay between optical reconfiguration circuits. This paper presents the design of 1,632 gate count zero-overhead DORGAs reduced the dispersion delay using a standard 0.35 mu m three-metal CMOS process technology.

    DOI: 10.1109/FPT.2005.1568569

    Web of Science

    researchmap

  • An improved dynamic optically reconfigurable gate array Reviewed

    M Watanabe, F Kobayashi

    IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI, PROCEEDINGS   136 - 141   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    To date, we have proposed Dynamic Optically Reconfigurable Gate Arrays (DORGAs), the implemented photodiodes of which serve not only as receivers but also as memory. DORGA offers the merit of easily providing a high gate count optically reconfigurable gate array (ORGA) because each reconfiguration circuit consists of only a photodiode and a refresh transistor However even though the fast reconfiguration capability has been confirmed as less than 6 ns, such systems have a demerit: their gate arrays can not function during reconfiguration. Consequently, reconfiguration and operation of the implemented circuit on a gate array can not be executed in parallel. Because of that fact, the dynamical reconfiguration frequency of DORGA is slow compared to those of ORGAs with latches, flip-flops, or memory. For that reason, this paper proposes a new optical reconfiguration architecture. Using it, the reconfiguration and implemented circuit operation on a gate array are executable in parallel merely by adding a pass transistor The new design of a 476-gate-count improved DORGA using a standard 0. 35 mu m three-metal CMOS process technology is also shown.

    DOI: 10.1109/ISVLSI.2005.16

    Web of Science

    researchmap

  • A 16,000-gate-count Optically Reconfigurable Gate Array in a standard 0.35μm CMOS technology Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    Proceedings - IEEE International Symposium on Circuits and Systems   1213 - 1217   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    Up to now, we have fabricated 68-gate-count Optically Reconfigurable Gate Arrays (ORGAs), the reconfiguration period of which has been confirmed as less than 10 ns. As the next step, we have begun development of high-gate-count ORGAs. The new ORGA-VLSI chip can achieve a 16,000-gatecount through reduction of photodiode size, photodiode spacing, and through introduction of a small optical reconfiguration circuit, that do not exceed the resolution of available optical components. This paper presents the new design of a 16,000-gate-count ORGA using a standard 0.35 μm 3-Metal CMOS process technology. In addition, photodiode characteristics are extracted from experimental results using an estimation chip and an evaluation of optical reconfiguration circuits using HSPICE simulation. © 2005 IEEE.

    DOI: 10.1109/ISCAS.2005.1464812

    Scopus

    researchmap

  • Rapid reconfiguration of an optically differential reconfigurable gate array with pulse lasers Reviewed

    M Miyano, M Watanabe, F Kobayashi

    FPT 05: 2005 IEEE International Conference on Field Programmable Technology, Proceedings   287 - 288   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    We have developed an Optically Differential Reconfigurable Gate Array (ODRGA-VLSI) to realize no-overhead and fast reconfigurable devices. The no-overheadand fast reconfiguration capability of a fabricated VLSI chip has been confirmed experimentally However to date, evaluation of nanosecond reconfiguration speed with an entire system combining a VLSI chip, spatial light modulators (SLMs) used as optical memories, and lasers has never been conducted. Therefore, this paper presents an entire ODRGA system including SLMs, pulse lasers, and an ODRGA-VLSI chip. Results show a 220 ns fast reconfiguration and circuit performance on the gate array.

    DOI: 10.1109/FPT.2005.1568564

    Web of Science

    researchmap

  • Optically differential reconfigurable gate array using an optical system with VCSELs Reviewed

    M Miyano, M Watanabe, F Kobayashi

    IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI, PROCEEDINGS   274 - 275   2005

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    Optically Reconfigurable Gate Arrays (ORGAs) have been developed to allow rapid reconfiguration. We have developed an Optically Differential Reconfigurable Gate Array (ODRGA) to realize fast and arbitrary partial reconfiguration capabilities. This paper presents the structure of a fabricated ODRGA-VLSI chip using an optical system with Vertical Cavity Surface Emitting Lasers (VCSELs) along with experimental results of reconfiguration.

    DOI: 10.1109/ISVLSI.2005.54

    Web of Science

    researchmap

  • Sampling rate conversion by Fourier interpolation Reviewed

    M Inoue, F Kobayashi, M Watanabe

    SICE 2004 ANNUAL CONFERENCE, VOLS 1-3   1613 - 1616   2004

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:SOC INSTRUMENT CONTROL ENGINEERS JAPAN  

    New time-domain SRC using Fourier interpolation to achieve less gate count than in frequency domain is proposed and implemented by FPGA. Layout area of the proposed SRC based on a 0.35 mu m process is 5.728mm(2), smaller than the conventional SRC using filters. The noise level is reduced down to the quantizaion error level by using several improving methods.

    Web of Science

    researchmap

  • An Optically Differential Reconfigurable Gate Array with a partial reconfiguration optical system and its power consumption estimation Reviewed

    M Watanabe, F Kobayashi

    17TH INTERNATIONAL CONFERENCE ON VLSI DESIGN, PROCEEDINGS   735 - 738   2004

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE COMPUTER SOC  

    This paper proposes an optically differential reconfigurable gate array (ODRGA) with a partial reconfiguration optical system. The ODRGA not only realizes a partial reconfiguration capability; it also reduces the amount of memory required to store reconfiguration contexts while reducing optical reconfiguration power consumption. This paper presents ODRGA-VLSI, which is available for a partial reconfiguration technique. Advantages of reducing the amount of memory and power consumption are estimated theoretically and compared with a conventional optically reconfigurable gate array.

    DOI: 10.1109/ICVD.2004.1261015

    Web of Science

    researchmap

  • Timing analysis of an optically differential reconfigurable gate array for dynamically reconfigurable processors Reviewed

    M Watanabe, F Kobayashi

    ERSA '04: THE 2004 INTERNATIONAL CONFERENCE ON ENGINEERING OF RECONFIGURABLE SYSTEMS AND ALGORITHMS   311 - 311   2004

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:C S R E A PRESS  

    Web of Science

    researchmap

  • An optical reconfiguration circuit for optically reconfigurable gate arrays Reviewed

    M Watanabe, F Kobayashi

    2004 47TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL I, CONFERENCE PROCEEDINGS   529 - 532   2004

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    An optical reconfiguration circuit suitable for Optically Reconfigurable Gate Arrays (ORGAs) is proposed to improve the gate density of ORGAs. The optical reconfiguration circuit works as a receiver circuit and temporary memory for optically-supplied reconliguration contexts. The circuit is connected for each programming element of the gate array. Reducing the implementation area of optical reconfiguration circuits is very important to improve the gate density because the number of programming elements of the gate array is extremely large. This paper presents an optical reconfiguration circuit reduced to 43% implementation area compared with that of conventional circuits and an ORGA design with the optical reconfiguration circuit using 0.35 mum 3-Metal CMOS technology. In addition, this study presents an evaluation using HSPICE simulation and photodiode characteristics extracted from experimental results.

    DOI: 10.1109/MWSCAS.2004.1354044

    Web of Science

    researchmap

  • Testing method for optical connections using gate array structure in ORGAs Reviewed

    M Watanabe, F Kobayashi

    ERSA '04: THE 2004 INTERNATIONAL CONFERENCE ON ENGINEERING OF RECONFIGURABLE SYSTEMS AND ALGORITHMS   299 - 299   2004

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:C S R E A PRESS  

    Web of Science

    researchmap

  • A high-density optically reconfigurable gate array using dynamic method Reviewed

    M Watanabe, F Kobayashi

    FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS, PROCEEDINGS   3203   261 - 269   2004

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:SPRINGER-VERLAG BERLIN  

    A high-density optically reconfigurable gate array (ORGA) is proposed to improve the gate density of conventional ORGAs, which are a type of Field Programmable Gate Array (FPGA). However, unlike FPGAs, an ORGA is reconfigured optically with external optical memories. A conventional ORGA has many programming elements, just as FPGAs do. One programming element consists of a photodiode to detect an optical reconfiguration signal; a latch, a flip-flop or a bit of memory to temporarily store the reconfiguration bit; and some transistors. Among those components, the latch, flip-flop, or memory occupies a large implementation area on a typical VLSI chip-, it prevents realization of a high-gate-density ORGA. This paper presents a high-density ORGA structure that eliminates latches, flip-flops, and memory using a dynamic method and a design of an ORGA-VLSI chip with four optically reconfigurable logic blocks, five optically reconfigurable switching matrices, and four optical reconfigurable I/O blocks including four I/O bits. It uses 0.35 mum 3-Metal CMOS process technology. This study also includes some experimental results.

    DOI: 10.1007/978-3-540-30117-2_28

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array using a 0.18 mu m CMOS process Reviewed

    M Watanabe, F Kobayashi

    IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   281 - 284   2004

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This paper presents the design of a high-density optically differential reconfigurable gate array (ODRGA) using a 0.18 mum - 5 Metal CMOS process technology. ODRGA is a type of Field Programmable Gate Arrays (FPGAs). However, unlike conventional FPGAs, ODRGAs are reconfigured optically using an external optical system. Although ODRGAs have already been fabricated using a 0.35 mum - 3 Metal CMOS process technology, their gate-density remains unsatisfactory. For that reason, a new ODRGA-VLSI chip with four logic blocks, five switching matrices, and 16 I/O bits was fabricated on a 7.82 mm(2) chip using more advanced process technology. This paper presents the detailed design of a fabricated ODRGA-VLSI chip, the optical reconfiguration circuit, the gate array structure, the CAD layout, and an ODRGA-VLSI chip mounted on an estimation board. This study also includes experimental results regarding the reconfiguration period.

    DOI: 10.1109/SOCC.2004.1362436

    Web of Science

    researchmap

  • An Optically Reconfigurable Gate Array VLSI with Partial Reconfiguration Capability Reviewed

    WATANABE Minoru, KOBAYASHI Fuminori

    The Transactions of the Institute of Electronics, Information and Communication Engineers C   J86-C ( 8 )   869 - 877   2003.8

     More details

    Language:Japanese   Publishing type:Research paper (scientific journal)   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • FPGA implementation of Finite Physical Quantity Neural Network Reviewed

    T. Sotohebo, M. Watanabe, F. Kobayashi

    Journal of Robotics and Mechatronics   15 ( 2 )   136 - 142   2003.4

     More details

    Language:English   Publishing type:Research paper (scientific journal)  

    researchmap

  • Configuration for an optically differential reconfigurable gate array Reviewed

    M Miyano, M Watanabe, F Kobayashi

    SICE 2003 ANNUAL CONFERENCE, VOLS 1-3   1984 - 1987   2003

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    An Optically Differential Reconfigurable Gate Array (ODRGA) has been proposed to enable dynamic reconfiguration of an arbitrary location on its gate array. The dynamic reconfiguration of an arbitrary location can be realized by calculating the difference between previous configuration data stored on a VLSI chip and subsequent optically-supplied configuration data. This paper presents an optical reconfiguration sysytem for ODRGA VLSI chips using a liquid crystal television spatial light modulator and shows the experimental results of dynamic reconfiguration of an arbitrary location on an ODRGA VLSI chip.

    Web of Science

    researchmap

  • A finite physical quantity neural network VLSI with a learning capability Reviewed

    M Watanabe, F Kobayashi

    SICE 2003 ANNUAL CONFERENCE, VOLS 1-3   1988 - 1991   2003

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A finite physical quantity neural network (FPQNN) VLSI with a learning capability is proposed. The FPQNN model has a feature that the total electric charge stored in all neurons in a network is monotone decreasing while recalling. Despite the restriction of never increasing, the FPQNN model can produce a good performance for pattern classification. This paper presents an analog Circuit implementation with a learning capability that can calculate the movement of electric charge of the FPQNN model precisely and rapidly.

    Web of Science

    researchmap

  • Design of an Optically Differential Reconfigurable Gate Array VLSI chip with optically and electrically controlled logic blocks Reviewed

    M Watanabe, F Kobayashi

    IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   287 - 288   2003

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    An Optically Differential Reconfigurable Gate Array (ODRGA) was developed to achieve a partial dynamic reconfiguration capability. This paper proposes a novel optically and electrically controlled logic block structure suitable for ODRGAs. In addition, it presents a design of an optically differential reconfigurable gate array VLSI chip with 4 optically and electrically controlled logic blocks, 5 switching matrices, and 12 optically and electrically controlled I/O bits using 0.35 mum 3-Metal CMOS technology.

    DOI: 10.1109/SOC.2003.1241524

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array with a dynamic reconfiguration circuit Reviewed

    Minoru Watanabe, Fuminori Kobayashi

    Proceedings - International Parallel and Distributed Processing Symposium, IPDPS 2003   2003

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:Institute of Electrical and Electronics Engineers Inc.  

    An optically differential reconfigurable gate array (ODRGA) using a dynamic circuit technique is proposed to reduce the area occupied by the configuration circuit on a VLSI chip. The ODRGA reconfiguration process is performed by calculating the difference between previous configuration data stored on a VLSI chip and subsequent optically-supplied configuration data. The reconfiguration circuit of our previously proposed ODRGA using static circuit techniques required a large implementation area. This paper introduces a new dynamic reconfiguration circuit and compares an estimate of its area on the VLSI chip with that obtained by the static technique.

    DOI: 10.1109/IPDPS.2003.1213349

    Scopus

    researchmap

  • A neural network model using finite physical quantities and its realization on LSIs Reviewed

    M Watanabe, F Kobayashi

    SICE 2002: PROCEEDINGS OF THE 41ST SICE ANNUAL CONFERENCE, VOLS 1-5   1863 - 1864   2002

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A CMOS circuit for a finite physical quantities neural network model is proposed. The circuit can precisely express the movement of the finite physical quantities and quickly execute the enormous iterative calculations in the finite physical quantities model. This paper presents a HSPICE simulation result for pattern recognition and estimation of the circuit.

    DOI: 10.1109/SICE.2002.1196607

    Web of Science

    researchmap

  • Motion image compression circuit using the silicon retina as active sensor Reviewed

    M Amagasaki, F Kobayashi, M Watanabe, T Yagi

    SICE 2002: PROCEEDINGS OF THE 41ST SICE ANNUAL CONFERENCE, VOLS 1-5   1859 - 1860   2002

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    Silicon retina, modeling a biological architecture, can implement parallel operations with low power. To implement a compact, fast image-processing system, this paper describes a circuit with FPGA (Field Programmable Gate Array) and silicon retina combined together. Circuit optimization by DCT (Discrete Cosine Transform) is proposed.

    DOI: 10.1109/SICE.2002.1196605

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array and its power consumption estimation Reviewed

    M Watanabe, F Kobayashi

    2002 IEEE INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT), PROCEEDINGS   197 - 202   2002

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    A new optically differential reconfigurable gate array (ODRGA) is proposed to reduce configuration power consumption. The ODRGA has a simple architecture that adds a small circuit to conventional optically reconfigurable gate arrays (ORGAs) and uses differential configuration data stored in an optical holographic memory. In this paper configuration power consumption of ORGAs is estimated theoretically. Based on results, we show an estimation of configuration power consumption and comparative area occupied by the configuration circuit for ODRGA and conventional ORGAs.

    DOI: 10.1109/FPT.2002.1188682

    Web of Science

    researchmap

  • A compressed implementation of neural network with finite physical quantities on FPGAs Reviewed

    T Sotohebo, M Watanabe, F Kobayashi

    SICE 2002: PROCEEDINGS OF THE 41ST SICE ANNUAL CONFERENCE, VOLS 1-5   1861 - 1862   2002

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)   Publisher:IEEE  

    This paper presents the implementation of a multilayer neural network with finite physical quantities on a FPGA. The FPGA implementation extensively reduces much computer time for the neural network that requires iterative operation to exchange the physical quantities between neurons little by little.

    DOI: 10.1109/SICE.2002.1196606

    Web of Science

    researchmap

  • ニューラルネットワークVLSI

    渡邊 実, 小林 史典

    計測と制御 = Journal of the Society of Instrument and Control Engineers   40 ( 12 )   893 - 896   2001.12

     More details

    Language:Japanese   Publisher:The Society of Instrument and Control Engineers  

    DOI: 10.11499/sicejl1962.40.893

    CiNii Article

    CiNii Books

    researchmap

    Other Link: https://jlc.jst.go.jp/DN/JALC/00155220780?from=CiNii

  • An optical energy neural networkwith self-organizing capability Reviewed

    M. Watanabe, A. Itoh, F. Kobayashi

    17th annual conference of International Conference on Circuits/Systems Computers and Communications   2   1292 - 1295   2001.7

     More details

    Language:English   Publishing type:Research paper (international conference proceedings)  

    CiNii Article

    researchmap

  • DIGITAL ASSOCIATIVE MEMORY NEURAL-NETWORK WITH OPTICAL LEARNING CAPABILITY Reviewed

    M WATANABE, J OHTSUBO

    OPTICS COMMUNICATIONS   113 ( 1-3 )   31 - 38   1994.12

     More details

    Language:English   Publishing type:Research paper (scientific journal)   Publisher:ELSEVIER SCIENCE BV  

    A digital associative memory neural network system with optical learning and recalling capabilities is proposed by using liquid crystal television spatial light modulators and an Optic RAM detector. In spite of the drawback of the limited memory capacity compared with optical analogue associative memory neural network, the proposed optical digital neural network has the advantage of all optical learning and recalling capabilities, thus an all optics network system is easily realized. Some experimental results of the learning and the recalling for character recognitions are presented. This new optical architecture offers compactness of the system and the fast learning and recalling properties. Based on the results, the practical system for the implementation of a faster optical digital associative memory neural network system with ferro-electric liquid crystal SLMs is also proposed.

    DOI: 10.1016/0030-4018(94)90588-6

    Web of Science

    researchmap

▼display all

Books

  • FPGAの原理と構成

    渡邊 実( Role: Joint author)

    オーム社  2016.4 

     More details

  • High-Performance Computing Using FPGAs

    ( Role: Joint author)

    Springer  2013.6 

     More details

  • 「高速な木探索回路を実現する」,ディジタル・デザイン・テクノロジ

    渡邊 実( Role: Joint author)

    CQ出版  2012.10 

     More details

  • Advances in Solid State Circuit Technologies

    ( Role: Joint author)

    IN-TECH  2010.4 

     More details

  • Parallel and Distributed Computing

    ( Role: Joint author)

    IN-TECH  2010.1 

     More details

  • New Developments in Liquid Crystals

    ( Role: Joint author)

    IN-TECH  2009.11 

     More details

▼display all

MISC

  • 光再構成アーキテクチャを用いたウエハースケールVLSIの実現性

    高田睦士, 渡邊 実, 渡邊 誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023.11

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 液晶ホログラフィックメモリを持つ耐放射線光再構成型ゲートアレイへの並列構成試験

    後藤彩絵, 渡邊 実, 荻原昭文, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023.11

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • MISCプロセッサの光再構成型ゲートアレイVLSIへの実装と最大動作周波数評価

    今井颯真, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023.11

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 光再構成型ゲートアレイVLSIのγ線による放射線劣化特性の評価

    山田 果歩, 渡邊 実, 渡邊 誠也

    第67回宇宙科学技術連合講演会   2023.10

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 水晶発振器を用いない光再構成型ゲートアレイへの順序回路の実装

    高月信太朗, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023.9

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 光再構成型ゲートアレイの放射線試験向けモニタリングシステム

    関岡空己, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023.9

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 光再構成型ゲートアレイの低電圧動作評価

    島村侑希, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023.6

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 液晶・高分子材料への波長多重記録によるホログラフィックメモリの作成

    荻原昭文, 渡邊 実

    第70回応用物理学会春季学術講演会   03-172   2023.3

     More details

    Authorship:Last author, Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 脈流電源を用いた光再構成型ゲートアレイ

    辻野 将, 渡邊 実, 渡邊誠也

    情報処理学会第85回全国大会   2023.3

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • マルチコンテキストスクラビングによる順序回路実装

    安藤 駆, 渡邊 実, 渡邊誠也

    情報処理学会第85回全国大会   2023.3

     More details

    Authorship:Corresponding author   Publishing type:Research paper, summary (national, other academic conference)  

    researchmap

  • 耐放射線イメージセンサのトータルドーズ耐性

    第66回宇宙科学技術連合講演会   2022.11

     More details

    Authorship:Corresponding author  

    researchmap

  • 宇宙機器向けマルチコンテキストスクラビング

    安藤 駆, 渡邊 実, 渡邊 誠也

    第66回宇宙科学技術連合講演会   2022.11

     More details

    Authorship:Corresponding author  

    researchmap

  • パネルタイトル「FPGAデザインコンテスト」,パネルディスカッション 「自律型モビリティシステムとドメイン特化型ハードウェアやFPGA技術の未来」 Invited

    渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2022.9

     More details

    Authorship:Lead author, Last author, Corresponding author  

    researchmap

  • 光再構成型ゲートアレイVLSIの290 Mradまでのトータルドーズ耐性試験

    山田 果歩, 岡崎 武志, 渡邊 実, 渡邊 誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2022.6

     More details

    Authorship:Corresponding author  

    researchmap

  • 完全並列構成が可能な光再構成型ゲートアレイVLSI

    後藤 彩絵,渡邊 実,渡邊 誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2022.6

     More details

    Authorship:Corresponding author  

    researchmap

  • FSLによる3値化CNNのFPGA実装

    尾崎 洸人, 渡邊 誠也, 名古屋 彰, 渡邊 実

    パルテノン研究会   2021.12

     More details

    Authorship:Corresponding author  

    researchmap

  • Performance analysis of Mono Instruction Set Computer using VTR

    117 ( 221 )   43 - 46   2017.9

     More details

    Authorship:Last author, Corresponding author   Language:Japanese  

    CiNii Article

    researchmap

  • Hardware acceleration for holographic memories on optically reconfigurable gate arrays

    117 ( 221 )   31 - 36   2017.9

     More details

    Authorship:Last author, Corresponding author   Language:Japanese  

    CiNii Article

    researchmap

  • High-speed optically scrubbing using a multi-context

    117 ( 46 )   105 - 109   2017.5

     More details

    Language:Japanese  

    CiNii Article

    researchmap

  • Optically reconfigurable gate array with an optical input

    116 ( 53 )   67 - 70   2016.5

     More details

    Language:Japanese  

    CiNii Article

    researchmap

  • Fault tolerance of an inversion configuration method on an optically configurable gate array

    115 ( 343 )   5 - 8   2015.12

     More details

    Language:Japanese  

    CiNii Article

    researchmap

  • High-speed scrubbing on optically reconfigurable gate array

    115 ( 109 )   131 - 134   2015.6

     More details

    Language:Japanese  

    CiNii Article

    researchmap

  • Radiation tolerance of a holographic memory part on an optically reconfigurable gate array

    MORIWAKI Retsu, ITO Hiroyuki, MAEKAWA Akira, WATANABE Minoru, OGIWARA Akifumi

    IEICE technical report   114 ( 223 )   19 - 22   2014.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of dynamically reconfigurable gate array. ORGAs have a high-speed reconfiguration capability along with numerous reconfiguration contexts. Moreover, ORGAs have a high fault tolerance for radiation. Thig paper presents radiation tolerance of a holographic memory of an ORGA by using a gamma radiation source.

    CiNii Article

    CiNii Books

    researchmap

  • Parallel-operation-oriented optically reconfigurable gate array

    FUJIMORI Takumi, WATANABE Minoru

    IEICE technical report   114 ( 223 )   47 - 50   2014.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Amays (ORGAs) to realize a faster dynamic reconfiguration than that of the other reconfigurable devices. An ORGA consists of a laser array, a holographic memory and a gate array VLSI as a three-layer structure. Such ORGA can achieve faster reconfiguration than Field Programmable Gate Anays (FPGAs) by using a two-dimensional optical connection. In addition, the ORGA can have a lot of configuration contexts inside a holographic memory. On the other hand, we have proposed a parallel-operation-oriented FPGA architecture sharing a common configuration memory. This paper presents a new parallel-operation-oriented optically reconfigurable gate array.

    CiNii Article

    CiNii Books

    researchmap

  • Dependability-increasing demonstration of an optically differential reconfigurable gate array

    SEO Masato, WATANABE Minoru

    IEICE technical report   113 ( 325 )   83 - 86   2013.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, FPGAs are widely used in various systems. However, an FPGA is vulnerable to effects of high-energy charged particles so that it is rarely used for space applications under a radiation-rich space environment. Therefore, we have been developing an Optically Reconfigurable Gate Array (ORGA). An Optically Reconfigurable Gate Array has a high radiation tolerance. This paper presents a more advanced radiation tolerant method by using differential reconfiguration scheme.

    CiNii Article

    CiNii Books

    researchmap

  • A radiation tolerance improvement for optically reconfigurable gate array using a negative logic Implementation

    57   5p   2013.10

     More details

    Language:Japanese  

    CiNii Article

    researchmap

  • Optically reconfigurable gate array with a variable spot-size configuration context

    AKAGI Kouta, WATANABE Minoru

    IEICE technical report   113 ( 221 )   109 - 112   2013.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) to realize a faster dynamic reconfiguration than that of the other reconfigurable devices by using optical components. An ORGA consists of a laser array, a holographic memory, and a gate array-VLSI as a three-layer structure. An ORGA can achieve fast reconfiguration by using a two-dimensional optical connection. In addition, an ORGA can have a lot of configuration contexts inside a holographic memory. Therefore, an ORGA can realize a large virtual gate by exploiting a high-speed dynamic reconfiguration. This paper proposes a more advanced variable spot-size configuration in order to increase its gate density and presents an evaluation result.

    CiNii Article

    CiNii Books

    researchmap

  • An optically reconfigurable gate array using a temperature dependable holographic memory

    MORIWAKI Retsu, WATANABE Minoru, OGIWARA Akifumi

    IEICE technical report   113 ( 52 )   37 - 40   2013.5

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of dynamically reconfigurable gate array that can achieve a high-speed reconfiguration and numerous reconfiguration contexts. An ORGA consists of laser array, holographic memory, and an optically reconfigurable gate array VLSI. An ORGA has a radiation tolerance. However, a previous polymer-dispersed liquid crystal holographic memory cannot work at a high-temperature condition. So, we have fabricated a temperature-tolerant holographic memory using a holographic polymer-dispersed liquid crystal. This paper presents a new optically reconfigurable gate array using the temperature-tolerant holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • D-18-3 A TWO CONTEXT RECONFIGURATION ON A MEMS OPTTICALLY RECONFIGURABLE GATE ARRAY

    Yamaji Yuichiro, Watanabe Minoru

    Proceedings of the IEICE General Conference   2013 ( 1 )   197 - 197   2013.3

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • 0.18μm CMOS process dynamic optically reconfigurable gate array VLSI

    KUBOTA Takayuki, WATANABE Minoru

    IEICE technical report   112 ( 325 )   23 - 27   2012.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) to realize a faster dynamic reconfiguration than that of the other reconfigurable devices. An ORGA consists of laser arrays, a holographic memory and gate arrays-VLSI as a three-layer structure. An ORGA can achieve fast reconfiguration by using a two-dimensional optical connection. In addition, the ORGA can have a lot of configuration contexts inside a holographic memory. Therefore, an ORGA can realize a large virtual gate by exploiting a high-speed dynamic reconfiguration. This paper presents evaluation results of a newly fabricated 0.18μm CMOS process dynamic ORGA.

    CiNii Article

    CiNii Books

    researchmap

  • A 16-gray-scale image recognition on a dynamically reconfigurable vision

    KAMIKUBO Yuki, WATANABE Minoru, KAWAHITO Shoji

    IEICE technical report. Signal processing   112 ( 246 )   19 - 23   2012.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, for use in autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. However, to recognize numerous images quickly, such system requires many template images to be read out dynamically from memory. They must then be sent to a processor quickly. Realizing such high-speed real-time image recognition operation is difficult because of the bottleneck of transfer speed between the memory and the processor. Therefore, to improve the bottleneck, a dynamically reconfigurable vision architecture has been developed. This paper presents a more advanced dynamically reconfigurable vision architecture that can recognize 16-gray-scale images.

    CiNii Article

    CiNii Books

    researchmap

  • Power consumption analysis of a mono instruction set computer architecture

    ITO Hiroyuki, WATANABE Minoru

    112 ( 247 )   35 - 38   2012.10

     More details

  • Superimposing configuration acceleration method of an optically reconfigurable gate array including a speed adjustment bit

    YOZA Takashi, WATANABE Minoru

    IEICE technical report   112 ( 203 )   67 - 71   2012.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, demand fbr realizing robust embedded devises used in space is increasing daily. Under a space environment, embedded devices suffer from many high-energy charged particles. Therefore, we have been developing optically reconfigurable gate arrays (ORGAs) which are very robust against such high-energy charged particles. Up to now, we have demonstrated that a configuration speed adjustment method can optimize each reconfiguration speed of ORGAs. However, we have never confirmed whether the method can function correctly when configuration contexts are superimposed. Therefor, this paper demonstrates that the superimposing configuration acceleration method including a speed adjustment bit can be used.

    CiNii Article

    CiNii Books

    researchmap

  • Gray-level image detection of a dynamically reconfigurable vision-chip architecture

    KAMIKUBO Yuki, WATANABE Minoru, KAWAHITO Shoji

    IEICE technical report   112 ( 203 )   85 - 88   2012.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, for use in autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. However, to recognize numerous images quickly, such system requires many template images to be read out dynamically from memory. They must then be sent to a processor quickly. However, realizing such high-speed real-time image recognition operation is difficult because of the bottleneck of transfer speed between the memory and the processor. Therefore, to improve the bottleneck, a dynamically reconfigurable vision architecture that can recognize binarized images has been presented.however, to date, dynamically reconfigurable vision architecture that can recognize gray-level images has never been presented. Therefore, this paper presents an experimentation related to a more advanced dynamically reconfigurable vision architecture that can recognize 4-gradation gray-level images.

    CiNii Article

    CiNii Books

    researchmap

  • New optically reconfigurable gate array VLSI to enable a negative logic implementation

    MORIWAKI Retsu, WATANABE Minoru

    IEICE technical report. Dependable computing   111 ( 462 )   43 - 47   2012.2

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Up to now, as one of multi-context devices, an optically reconfigurable gate array (ORGA) has been developed to achieve high-speed reconfiguration and to provide numerous reconfiguration contexts. For an acceleration method by reducing the number of bright bits, we have developed a new ORGA VLSI-chip. This paper presents reconfiguration acceleration results on the new ORGA-VLSI chip.

    CiNii Article

    CiNii Books

    researchmap

  • New optically reconfigurable gate array VLSI to enable a negative logic implementation

    2012 ( 8 )   1 - 5   2012.2

     More details

  • New optically reconfigurable gate array VLSI to enable a negative logic implementation

    2012 ( 8 )   1 - 5   2012.2

     More details

  • Recovery experiments from a laser array failure in an optically reconfigurable gate array using a reconfiguration speed-adjustment analog bit

    YOZA Takashi, WATANABE Minoru

    IEICE technical report   111 ( 399 )   157 - 161   2012.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, developments of embedded devices are required for space satellites, space rockets, and so on. However, a device under a space radiation environment needs to have a robustness for such high-energy charged particles. So, we have been developing optically reconfigurable gate arrays (ORGAs) which have a robust ability for such high-energy charged particles because their configuration contexts are stored in a holographic memory. Up to now, we have proposed a configuration speed adjustment method for ORGAs that optimizes each reconfiguration speed. However, we have never confirmed whether the method can be used or not under a turn-on laser failure. Therefore, this paper demonstrates that the configuration speed adjustment method can be used under such a failure mode.

    CiNii Article

    CiNii Books

    researchmap

  • 0.18μm process Optically Reconfigurable Gate Array VLSI

    WATANABE Takahiro, WATANABE Minoru

    IEICE technical report   111 ( 399 )   153 - 156   2012.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing a type of fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. Therefore, this device can virtually implement large gates onto a programmable gate array with a dynamic reconfiguration. This paper presents a new 0.18 μm process ORGA-VLSI and comparison results of the new 0.18 μm process ORGA-VLSI with a conventional 0.35 process ORGA-VLSI.

    CiNii Article

    CiNii Books

    researchmap

  • Recovery experiment from a laser array failure in a Dynamic Optically Reconfigurable Gate Array

    YOZA Takashi, WATANABE Minoru

    IEICE technical report. Circuits and systems   111 ( 377 )   109 - 114   2012.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, demand of field programmable gate array (FPGA) uses for space applications is being increased since an FPGA can be reconfigured remotely. However, since under a space environment, high-energy charged particles break FPGA's configuration contexts, FPGA can not be used robustly. Therefore, we have been developing optically reconfigurable gate arrays (ORGAs) which consist of a laser array, a holographic memory, and a programmable gate array VLSI with a photodiode array. The ORGAs are reliable against space radiations since the ORGAs can use a damaged configuration context. Up to now, we have proposed a recovery method for a turn-on laser failure mode in an ORGA. However, the recovery method has never been applied for a dynamic ORGA that use photodiode memory architecture. Therefore, this paper presents that the recovery method is also useful on a dynamic ORGA.

    CiNii Article

    CiNii Books

    researchmap

  • 0.18 μm process Optically Reconfigurable Gate Array VLSI

    2012 ( 30 )   1 - 4   2012.1

     More details

  • A configuration speed adjustment method on ORGAs

    YOZA Takashi, WATANABE Minoru

    111 ( 323 )   13 - 17   2011.11

     More details

  • Dynamically reconfigurable vision-chip architecture using a lens array

    KAMIKUBO Yuki, WATANABE Minoru, KAWAHITO Shoji

    Technical report of IEICE. ICD   111 ( 258 )   83 - 87   2011.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, development of a high-speed image processing system is required for autonomous robots, cars, and so on. Since such embedded system must execute image processing operations at 1000 frames/s, there are issues in transferring image information between a processor chip and a memory chip and in processing it. Up to now, some vision chips including processing elements have been developed. However, such vision chip can execute only simple image operations and its performance is insufficient. Therefore, we have been developing a dynamically reconfigurable vision-chip architecture. This paper presents the experimental results of a dynamically reconfigurable vision-chip architecture using a lens array.

    CiNii Article

    CiNii Books

    researchmap

  • Dynamically reconfigurable vision-chip architecture using a lens array

    2011 ( 15 )   1 - 5   2011.10

     More details

  • Optically reconfigurable gate array using a phase hologram

    WATANABE Takahiro, WATANABE Minoru

    IEICE technical report   111 ( 37 )   1 - 5   2011.5

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Demand for FPGAs that are usable under a space radiation environment is increasing daily. However, such high energy charged particles break a configuration context on FPGAs so that hardware itself is not robust in addition to software operations. On the other hand, Optically reconfigurable gate array (ORGA) architecture achieves not only high performance but also extreme robustness for such high-energy charged particles. This paper presents experimental results of optical reconfiguration using a phase modulation type holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • A 256-context optically reconfiguration using a DigitalMirrorDevice

    YAMAJI Yuichiro, WATANABE Minoru

    IEICE technical report   111 ( 31 )   121 - 125   2011.5

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing optically reconfigurable gate arrays that combines a holographic memory and a programmable gate array VLSI. The optically reconfigurable gate array enables nanosecond-order rapid dynamic reconfigurations. Up to now, a MEMS (Micro Electro Mechanical Systems) optically reconfigurable gate array using a MEMS device as a holographic memory has been proposed. This paper presents a demonstration result of a 256 configuration context MEMS optically reconfigurable gate array.

    CiNii Article

    CiNii Books

    researchmap

  • MEMS allowable alignment errors of a MEMS dynamic optically reconfigurable gate array

    MORITA Hironobu, WATANABE Minoru

    IEICE technical report   110 ( 361 )   151 - 156   2011.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, large processing power is required for various applications. On the other hand, miniaturization of transistors is close to physical limitation. Therefore, we have been developing Optically Reconfigurable Gate Array (ORGA) that consists of a holographic memory, a laser array, and a gate array VLSI. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. In addition to it, we have been developing a MEMS optically reconfigurable gate array. In this architecture, a MEMS holographic memory can be rewritten electrically and remotely. This paper clarifies the allowable alignment errors of the MEMS optically reconfigurable gate array.

    CiNii Article

    CiNii Books

    researchmap

  • An estimation of a dynamic partial reconfiguration capability of a dynamic optically reconfigurable gate array

    GUNDJALAM Amarjargal, WATANABE Minoru

    IEICE technical report   110 ( 315 )   55 - 60   2010.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) to realize a high-speed dynamic reconfiguration capability. Among such researches, we have proposed a dynamic ORGA architecture that can achieve a high gate count VLSI by removing the static configuration memory and using the junction capacitance of photodiodes as dynamic random access memory. We have conducted dynamic partial reconfiguration experiments for the Dynamic Optically Reconfigurable Gate Array (DORGA) architecture. Since the dynamic architecture uses photodiodes as dynamic random access memory to store a configuration context, the retention time varies according to the repetition rate of partial dynamic reconfiguration procedures. Thus, we have analyzed the affection.

    CiNii Article

    CiNii Books

    researchmap

  • Applications of optically reconfigurable gate arrays

    WATANABE Minoru

    IEICE technical report   110 ( 204 )   67 - 71   2010.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Up to now, as one of multi-context devices, an optically reconfigurable gate array (ORGA) has been developed to achieve high-speed dynamic reconfiguration. The ORGA is an optoelectronic device that comprises laser sources, an optical holographic memory, and a programmable gate array VLSI. This paper presents applications of a SISC (MISC) processor concept and embedded systems used in space, which are based on the ORGA architecture.

    CiNii Article

    CiNii Books

    researchmap

  • A MEMS addressing technique in optically reconfigurable gate arrays

    MORITA Hironobu, WATANABE Minoru

    IEICE technical report   110 ( 204 )   73 - 77   2010.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, while large processing power for various applications is being required, miniaturization of transistors has been being progressed in order to increase the performance of integrated circuits. However, now such miniaturization is facing physical limitation. Therefore, we have been developing Optically Reconfigurable Gate Array (ORGA) that consists of a holographic memory, a laser array, and a gate array VLSI. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. On the other hand, conventional ORGAs require a lot of lasers depending on the number of configuration contexts. Therefore, this paper presents a MEMS addressing technique to reduce the number of addressing lasers.

    CiNii Article

    CiNii Books

    researchmap

  • MEMS Optically Reconfigurable Gate Array with 64 configuration contexts

    YAMAJI Yuichiro, WATANABE Minoru

    IEICE technical report   110 ( 179 )   141 - 144   2010.8

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) that combine a holographic memory and a gate array VLSI. The ORGA enables nanosecond-order dynamic reconfigurations. Up to now, a holographic memory on an ORGA was programmed before the ORGA functions and the holographic memory worked as a read-only memory while ORGA functions. However, in order to increase the number of dynamic reconfiguration contexts, the holographic memory should be rewritten while ORGA functions. Therefore, we have introduced an electrically rewritable MEMS device onto an ORGA. This paper shows 64 configuration context MEMS ORGA architecture and its experimental results.

    CiNii Article

    CiNii Books

    researchmap

  • Recovery method for a laser array failure on Optical Reconfigurable Gate Arrays

    WATANABE Takahiro, WATANABE Minoru

    IEICE technical report   110 ( 179 )   135 - 140   2010.8

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Demand for FPGAs that are usable under a space radiation environment is increasing daily. However, such high energy charged particles break a configuration context on an FPGA so that hardware itself is not robust in addition to software operations. On the other hand, Optically reconfigurable gate array (ORGA) architecture achieves not only high performance but also extreme robustness for such high-energy charged particles. However, the ORGA has an unallowable failure mode, which is a turn-off failure mode of Lasers on a Laser array. Therefore, this paper presents a proposal of a recovery method for the turn-off failure mode in an ORGA and presents its demonstration results.

    CiNii Article

    CiNii Books

    researchmap

  • Four-point template matching operations on a dynamically reconfigurable vision-chip architecture

    NAKADA Hironari, WATANABE Minoru

    IEICE technical report   110 ( 179 )   145 - 148   2010.8

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, development of a high-speed image processing system is required for autonomous robots and cars, and so on. Since such embedded system must execute image processing operations at 1000 frames/s, there are issues in transferring image information and processing it. Up to now, some vision chips including processing elements have been developed. However, such vision chip can execute only simple operations and its performance is insufficient. Therefore, we have been developing a dynamically reconfigurable image processing device. This paper presents the experimental results of 4-point template matching operations on a dynamically reconfigurable image processor architecture.

    CiNii Article

    CiNii Books

    researchmap

  • Image sensor using dynamic reconfiguration

    YASUDA Maki, WATANABE Minoru

    IEICE technical report   109 ( 469 )   83 - 86   2010.3

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, development of a high-speed image processing system is required for autonomous robots and cars, and so on. Since such embedded system must execute image processing operations at 1000 frames/s, there are issues in transferring image information and processing it. Up to now, some vision chips including processing elements have been developed. However, such vision chip can execute only simple operations and its performance is insufficient. Therefore, we have been developing a dynamically reconfigurable image processor device. This paper presents a dynamically reconfigurable image processor architecture and some experimental results.

    CiNii Article

    CiNii Books

    researchmap

  • Defect tolerance for a differential reconfiguration strategy

    MORITA Hironobu, WATANABE Minoru

    IEICE technical report   109 ( 469 )   77 - 81   2010.3

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, large processing power for image processing and the other field processing is required. The improvement of the processing power is demanded. On the other hand, up to now, miniaturization of transistors has been being progressed in order to increase the performance of integrated circuits. However, now such miniaturization is facing physical limitation. Therefore, we have been developing Optically Reconfigurable Gate Array (ORGA) that consists of a holographic memory, a laser array, and a gate array VLSI. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. This paper presents a differential reconfiguration technique that allows fast reconfiguration with high defect tolerance.

    CiNii Article

    CiNii Books

    researchmap

  • Block-by-block reconfiguration of a dynamic optically reconfigurable gate array

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   109 ( 405 )   19 - 23   2010.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing a fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs). Also, we have proposed a dynamic ORGA architecture that can realize a large gate count gate array. This paper presents a demonstration result of block-by-block partial reconfiguration for the dynamic ORGA architecture.

    CiNii Article

    CiNii Books

    researchmap

  • A remote dynamic optically reconfigurable gate array using a fiber array

    UENO Yumiko, WATANABE Minoru

    IEICE technical report   109 ( 395 )   167 - 170   2010.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Up to now, Optically Reconfigurable Gate Arrays(ORGAs)have been developed to realize fast reconfiguration and numerous reconfiguration contexts. The ORGA consists of a hologram memory, a laser array, and a gate array VLSI. The ORGA is a new type programmable gate array that is dynamically reconfigured with a lot of configuration contexts stored on a holographic memory. However, conventional ORGA architectures have never realized a remote programming capability. So, a new remotely reconfigurable gate array architecture that enables remote reconfiguration using optical fiber networks has been developed with a standard ORGA-VLSI. Moreover, in this experiment, a dynamic ORGA has been adopted to the remote architecture. This paper presents the demonstration results of the architecture.

    CiNii Article

    CiNii Books

    researchmap

  • A programmable optically reconfigurable gate array with a silver-halide holographic memory

    KUBOTA Shinya, WATANABE Minoru

    IEICE technical report   109 ( 395 )   175 - 179   2010.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, although demands of larger scale and large function for integrated circuits are increased, the progress of VLSI technologies is slowing down. Therefore, optically reconfigurable gate arrays(ORGAs)that can realize dynamic reconfiguration have been developed as an alternative technology of current integrated circuits. The ORGAs consists of a holographic memory, a laser array, and a gate array VLSI. The ORGAs can dynamically be reconfigured by using such optical components. Moreover, a programmable ORGA that can be reprogrammed by a writer has been developed. This paper presents the first experimental results of a programmable optically reconfigurable gate array using a silver-halide holographic memory as a reflective holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • Compensation method for photodiode characteristics variation using an analog configuration context

    AOYAMA Yuji, WATANABE Minoru

    IEICE technical report   109 ( 395 )   171 - 174   2010.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. Among such researches, we have been developing optically reconfigurable gate arrays(ORGAs)that can be reconfigured with optical components in a perfectly parallel. Up to now, we have proposed a dynamic optically reconfigurable architecture that uses photodiodes as dynamic memory to store a configuration context and perfectly removes static configuration memory. However, a VLSI of the dynamic optically reconfigurable architecture has a lot of photodiodes and its outputs are directly connected to programming points of a programmable gate array. So, such photodiode characteristics variation and optical component variation cause collisions of circuit-outputs. Therefore, this paper proposes a compensation method for photodiode characteristics variation using an analog configuration context. Also, this paper presents experimental results to confirm its availability.

    CiNii Article

    CiNii Books

    researchmap

  • A remote optically reconfigurable gate array with 4 configuration contexts

    UENO Yumiko, WATANABE Minoru

    IEICE technical report   109 ( 336 )   117 - 120   2009.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Up to now, Optically Reconfigurable Gate Arrays (ORGAs) have been developed to realize fast reconfiguration and numerous reconfiguration contexts. The ORGA consists of a hologram memory, a laser array, and a gate array VLSI. The ORGA is a new type VLSI that a programmable gate array is dynamically reconfigured with a lot of configuration contexts on a holographic memory. However, conventional ORGA architectures have never realized a remote programming capability. Therefore, we have developed a new remotely reconfigurable gate array architecture that enables remote reconfiguration using optical fiber networks. This paper demonstrates a more advanced system, a for-context remote optically reconfigurable gate array architecture.

    CiNii Article

    CiNii Books

    researchmap

  • Optical buffering technique under space radiation environment

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   109 ( 320 )   13 - 18   2009.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Embedded devices used for spacecraft, satellites, and space stations are vulnerable to effects of high-energy charged particles. For such embedded devices, reconfigurable devices are expected. When a part of a reconfigurable device is damaged, the configuration context can be changed remotely by using wireless communication. However, configuration contexts also suffer from space radiation while configuration data are transferred with wireless communication and are retained on an EEPROM or a SRAM(EEPROM/SRAM). So, this paper presents an optical buffering technique for a programmable gate array under a space radiation environment. The technique enables rapid recovery of a programmable device that has been damaged by high-energy charged particles. It uses incorrect configuration data including some error bits that have already been damaged by particles while the configuration data are transferred with wireless communication and are retained on an EEPROM/SRAM.

    CiNii Article

    CiNii Books

    researchmap

  • An inversion/non-inversion dynamic optical reconfiguration architecture using a MEMS

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   109 ( 320 )   7 - 12   2009.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing fast reconfigurable devices, an Optically Reconfigurable Gate Arrays(ORGAs). Among such researches, we have proposed an inversion/non-inversion dynamic architecture that allows fast reconfiguration and large gate count realization. This paper presents effectiveness of the inversion/non-inversion dynamic architecture using a MEMS holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • Influence analysis of a holographic memory window of a programmable optically reconfigurable gate array

    KUBOTA Shinya, WATANABE Minoru

    IEICE technical report   109 ( 316 )   101 - 105   2009.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, although demands of larger scale and large function for integrated circuits are increased, the progress of VLSI technologies is slowing down. Therefore, optically reconfigurable gate arrays(ORGAs)that can realize dynamic reconfiguration have been developed as an alternative technology of current integrated circuits. The ORGAs consists of a holographic memory, a laser array, and a gate array VLSI. The ORGAs can be dynamically reconfigured by using such optical components. Among such researches, a programmable ORGA that can be reprogrammed by a writer has been developed. This paper presents influence analysis results of a holographic memory window of a programmable ORGA.

    CiNii Article

    CiNii Books

    researchmap

  • An optimization method of optical reconfigurations using analog contexts

    AOYAMA Yuji, WATANABE Minoru

    IEICE technical report   109 ( 226 )   7 - 10   2009.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. Among such researches, we have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. Up to now, we have proposed a dynamic optically reconfigurable architecture that uses photodiodes as dynamic memory to store a configuration context and perfectly removes static configuration memory. In this architecture, reconfiguration speed for a circuit implemented on a gate array is different from each other depending on its reconfiguration context pattern. Therefore, this paper proposes an optimization method of optical reconfigurations using analog contexts and presents experimental results.

    CiNii Article

    CiNii Books

    researchmap

  • An optically differential reconfigurable gate array using a Digital Mirror Device

    MORITA Hironobu, WATANABE Minoru

    IEICE technical report   109 ( 226 )   1 - 5   2009.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, miniaturization of transistors has been being progressed in order to increase the performance of integrated circuits. However, now such miniaturization is facing physical limitation. Therefore, we have been developing Optically Reconfigurable Gate Array (ORGAs) that consist of a holographic memory, a laser array and a gate array VLSI. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. This paper presents experimental results of optical differential reconfigurations using a digital micromirror device (DMD).

    CiNii Article

    CiNii Books

    researchmap

  • A remote optically reconfigurable gate array using fibers

    UENO Yumiko, WATANABE Minoru

    IEICE technical report   109 ( 201 )   63 - 66   2009.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Up to now, Optically Reconfigurable Gate Arrays (ORGAs) have been developed to realize fast reconfiguration and numerous reconfiguration contexts. The ORGA consists of a hologram memory, a laser array, and a gate array VLSI. The ORGA is a new type VLSI that a programmable gate array is dynamically reconfigured with a lot of configuration contexts stored on a holographic memory. However, conventional ORGA architectures have never realized a remote programming capability. So, this paper proposes a new remotely reconfigurable gate array architecture that enables remote reconfiguration using optical fiber networks and presents the demonstration results of the architecture.

    CiNii Article

    CiNii Books

    researchmap

  • Defect tolerance of a MEMS dynamic optically reconfigurable gate array

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   109 ( 201 )   71 - 76   2009.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, dynamic reconfigurable device has been developed to improve the performance of current VLSIs. Also, we have been developing a fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that consist of a holographic memory, a laser diode array, and a gate array VLSI. Because of a large storage capability of a holographic memory and an optically parallel connection, ORGAs can realize fast dynamic reconfiguration. In addition, we have proposed an ORGA using a digital mirror device (DMD) as holographic memory. A DMD has advantages of fast response ability and high diffraction efficiency. Therefore, a DMD holographic memory is expected to realize dynamic operations of an ORGA's holographic memory. This paper presents experimental results of a defective tolerance of an ORGA with a DMD holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • A configuration speed acceleration method using negative logic implementation

    MORIWAKI Retsu, WATANABE Minoru

    IEICE technical report   109 ( 201 )   67 - 70   2009.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Up to now, as one of multi-context devices, an optically reconfigurable gate array (ORGA) has been developed to achieve a high-speed reconfiguration. This paper proposes a negative logic implementation method that optical configurations can be accelerated without any ORGA architecture modification and any increase of laser power.

    CiNii Article

    CiNii Books

    researchmap

  • A writer system not using an imaging lens for 4-contexts programmable optically reconfigurable gate arrays

    KUBOTA Shinya, WATANABE Minoru

    IEICE technical report   109 ( 198 )   109 - 112   2009.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, although demands of larger scale and large function for integrated circuits are increased, the progress of VLSI technologies is slowing down. Therefore, optically reconfigurable gate arrays (ORGAs) that can realize dynamic reconfiguration have been developed as an alternative technology of current integrated circuits. The ORGAs consists of a holographic memory, a laser array, and a gate array VLSI. The ORGAs can be dynamically reconfigured by using such optical components. Among such researches, programmable ORGAs that can be reprogrammed by a writer have been developed. This paper presents the architecture of a 4-context programmable ORGAs and its writer without an imaging lens.

    CiNii Article

    CiNii Books

    researchmap

  • Four-context optical reconfiguration using a Digital Mirror Device

    MORITA Hironobu, WATANABE Minoru

    IEICE technical report   109 ( 174 )   37 - 40   2009.8

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, miniaturization of transistors has been being progressed in order to increase the performance of integrated circuits. However, now such miniaturization is facing physical limitation. Therefore, we have been developing Optically Reconfigurable Gate Array (ORGAs) that consist of a holographic memory, a laser array and a gate array VLSI. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. However, to realize higher reconfiguration frequency, higher efficiency holographic memory is required for ORGAs. So, this paper presents the experimental results of four context optical reconfigurations using a digital micromirror device (DMD).

    CiNii Article

    CiNii Books

    researchmap

  • A 36-context dynamic optically reconfigurable gate array

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   109 ( 174 )   41 - 46   2009.8

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing optically reconfigurable gate arrays (ORGAs) which have possibilities to realize large virtual gate count and high performance by combining a holographic memory which has a large storage capacity with a programmable gate array. In addition, we have been developing dynamic optically reconfigurable gate arrays (DORGAs) that realize a high density VLSI by using a photodiode memory architecture. Up to now, we have already developed a 16-context ORGA with a nanosecond-order reconfiguration capability. This paper presents a more advanced 36-context dynamic ORGA.

    CiNii Article

    CiNii Books

    researchmap

  • Fault tolerance of a dynamic optically reconfigurable gate array using a non-volatile volume holographic memory

    MABUCHI Takayuki, MIYAGI Kenji, WATANABE Minoru, OGIWARA Akihumi

    IEICE technical report   109 ( 110 )   109 - 112   2009.6

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically Reconfigurable Gate Arrays (ORGAs) consist of a gate array VLSI, a holographic memory, and a laser array. ORGA can achieve rapid reconfiguration by exploiting optical parallel connections. Moreover, such parallel connections, allow to realize high defect tolerance. This paper presents fault tolerance of a dynamic optically reconfigurable gate array using a non-volatile volume holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • Optical Reconfiguration using a Digital Micromirror Device

    MORITA Hironobu, WATANABE Minoru

    IEICE technical report   109 ( 110 )   113 - 116   2009.6

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In recent years, miniaturization of transistors has been being progressed in order to increase the performance of integrated circuits. However, now such miniaturization faces physical limitation. Therefore, we have been developing Optically Reconfigurable Gate Arrays (ORGAs) that consist of a holographic memory, a laser array and a gate array VLSI. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. However, to realize higher reconfiguration frequency, higher efficiency holographic memory is required for ORGAs. So, this paper presents the demonstration of a digital micromirror device (DMD) holographic configuration technique.

    CiNii Article

    CiNii Books

    researchmap

  • A sixteen-context optically reconfigurable gate array

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   108 ( 455 )   61 - 65   2009.2

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically reconfigurable gate arrays (ORGAs) are being developed to overcome current VLSI technologies in the future. The ORGAs have a possibility to realize a large virtual gate count over current VLSIs and fast dynamic reconfigurations by combining a holographic memory to a programmable gate array VLSI. In addition, we are developing dynamic optically reconfigurable gate arrays (DORGAs) that realize a high density VLSI by using a photodiode memory architecture. This paper demonstrates a sixteen-context DORGA architecture.

    CiNii Article

    CiNii Books

    researchmap

  • An optically reconfigurable gate array with a non-volatile holographic memory

    MABUCHI Takayuk, MIYASHIRO Kenji, WATANABE Minoru, OGIWARA Akifumi

    IEICE technical report   108 ( 455 )   67 - 70   2009.2

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically Reconfigurable Gate Arrays (ORGAs) consist of a gate array VLSI, a holographic memory and a laser array that can achieve rapid reconfiguration by using optical parallel connections This paper shows an optically reconfigurable gate array with a non-volatile holographic memory which can be written optically.

    CiNii Article

    CiNii Books

    researchmap

  • A programmable 9-contexts optically reconfigurable gate arrays and its writer

    KUBOTA Shinya, WATANABE Minoru

    2009 ( 7 )   37 - 40   2009.1

     More details

    Language:Japanese   Publisher:Information Processing Society of Japan (IPSJ)  

    Recently, optically reconfigurable gate arrays (ORGAs) that can realize dynamic reconfiguration have been developed. The ORGAs consists of a holographic memory, a laser array, and a gate array VLSI. ORGAs are new type VLSIs, the gate arrays of which are optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. However, conventional ORGAs have one important shortcoming. They cannot be reprogrammed after fabrication. Therefore, to improve that shortcoming, we have been developing a programmable optically reconfigurable gate array architecture. So, this paper presents the demonstration of a programmable 9-contexts optically reconfigurable gate arrays and its writer.

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://id.nii.ac.jp/1001/00061224/

  • Perfect demonstration of a four-context Optically Reconfigurable Gate Array

    MABUCHI Takayuk, WATANABE Minoru

    2009 ( 7 )   41 - 44   2009.1

     More details

    Language:Japanese   Publisher:Information Processing Society of Japan (IPSJ)  

    Optically reconfigurable gate arrays (ORGAs) consist of a gate array VLSI, a holographic memory and a laser diode array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. This paper shows the perfect demonstration of a four-context Optically Reconfigurable Gate Array. Also, the experimental results of a superimposing technique are presented.

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://id.nii.ac.jp/1001/00061225/

  • Comparison evaluation of an inversion / non-inversion dynamic optically reconfiguration architecture

    KATO Shinichi, WATANABE Minoru

    IEICE technical report   108 ( 412 )   45 - 50   2009.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) which can be reconfigured optically. The ORGAs consist of a laser array, a hologram memory, a programmable gate array VLSI. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Also, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. Among such developments, we have proposed a dynamic optically reconfigurable gate array using a photodiode memory architecture to realize huge gate count VLSI, an optically differential reconfigurable gate array using a differential reconfiguration strategy to achieve a fast reconfiguration capability, and an inversion/noninversion optically reconfigurable architecture that combines both architectures to realize both advantages of rapid configuration and high gate count. This paper shows the estimation results of the three architectures under a same condition and fast configuration experimental results of the inversion/noninversion optically reconfigurable architecture.

    CiNii Article

    CiNii Books

    researchmap

  • Perfect demonstration of a four-context Optically Reconfigurable Gate Array

    MABUCHI Takayuk, WATANABE Minoru

    IEICE technical report   108 ( 412 )   41 - 44   2009.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically reconfigurable gate arrays (ORGAs) consist of a gate array VLSI, a holographic memory and a laser diode array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. This paper shows the perfect demonstration of a four-context Optically Reconfigurable Gate Array. Also, the experimental results of a superimposing technique are presented.

    CiNii Article

    CiNii Books

    researchmap

  • A programmable 9-contexts optically reconfigurable gate arrays and its writer

    KUBOTA Shinya, WATANABE Minoru

    IEICE technical report   108 ( 412 )   37 - 40   2009.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, optically reconfigurable gate arrays (ORGAs) that can realize dynamic reconfiguration have been developed. The ORGAs consists of a holographic memory, a laser array, and a gate array VLSI. ORGAs are new type VLSIs, the gate arrays of which are optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. However, conventional ORGAs have one important shortcoming. They cannot be reprogrammed after fabrication. Therefore, to improve that shortcoming, we have been developing a programmable optically reconfigurable gate array architecture. So, this paper presents the demonstration of a programmable 9-contexts optically reconfigurable gate arrays and its writer.

    CiNii Article

    CiNii Books

    researchmap

  • Comparison evaluation of an inversion / non-inversion dynamic optically reconfiguration architecture

    KATO Shinichi, WATANABE Minoru

    2009 ( 7 )   45 - 50   2009.1

     More details

    Language:Japanese   Publisher:Information Processing Society of Japan (IPSJ)  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) which can be reconfigured optically. The ORGAs consist of a laser array, a hologram memory, a programmable gate array VLSI. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Also, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. Among such developments, we have proposed a dynamic optically reconfigurable gate array using a photodiode memory architecture to realize huge gate count VLSI, an optically differential reconfigurable gate array using a differential reconfiguration strategy to achieve a fast reconfiguration capability, and an inversion/noninversion optically reconfigurable architecture that combines both architectures to realize both advantages of rapid configuration and high gate count. This paper shows the estimation results of the three architectures under a same condition and fast configuration experimental results of the inversion/noninversion optically reconfigurable architecture.

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://id.nii.ac.jp/1001/00061226/

  • Fast optical reconfigurations of a nine-context of ORGA

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   108 ( 388 )   63 - 67   2009.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize fast reconfigurations and numerous reconfiguration contexts by combining a holographic memory to a programmable gate array. ORGAs have a possibility to realize large virtual gate counts over current VLSIs. In addition to it, we have been developing dynamic optically reconfigurable gate arrays (DORGAs) that can realize a high density VLSI by using a photodiode memory architecture. This paper presents a fast reconfiguration capability of a nine-context DORGA architecture.

    CiNii Article

    CiNii Books

    researchmap

  • An improvement method for assembly errors of optical components in optically reconfigurable gate arrays

    MORITA HIRONOBU, WATANABE Minoru

    IEICE technical report   108 ( 388 )   59 - 62   2009.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) that consists of a holographic memory, a laser array, and a gate array VLSI. The gate array of the ORGA can be reconfigured optically by using a laser array. However, in these devices, positioning accuracies between a holographic memory and an ORGA-VLSI and between a laser array and the holographic memory were main concerns to manufacture them. Therefore, we have been studying correction techniques using holographic memories with compensation data for each error. This paper presents experimental results of correction techniques of a gate array part, a holographic memory part, and a laser array part.

    CiNii Article

    CiNii Books

    researchmap

  • Performance estimation for a gate array part of a dynamic optically reconfigurable gate array

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   108 ( 347 )   73 - 78   2008.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • Fast optical reconfigurations of a nine-context ODRGA

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   108 ( 347 )   67 - 72   2008.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Fast reconfigurations and numerous reconfiguration contexts are two important factors of dynamic reconfiguration devices. Therefore, optically reconfigurable gate arrays (ORGAs) have been developed to realize them by combining a holographic memory to a programmable gate array. In addition, we have been developing optically differential reconfigurable gate arrays (ODRGAs) to realize faster reconfigurations. This paper presents reconfiguration capabilities of a nine-context ODRGA architecture.

    CiNii Article

    CiNii Books

    researchmap

  • An emulation experiment of an inversion/non-inversion dynamic optical reconfiguration architecture

    KATO Shinichi, WATANABE Minoru

    IEICE technical report   108 ( 300 )   1 - 4   2008.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) consisting of a laser array, a hologram memory, and a gate array VLSI. The ORGA allows fast configuration by exploiting two dimensional optical bus. Furthermore, in order to achieve a larger-scale gate array and faster configuration per laser power, an inversion/non-inversion dynamic optically reconfiguration architecture has been proposed. This paper presents an emulation result of the inversion/non-inversion dynamic optically reconfiguration architecture by using conventional ORGAs.

    CiNii Article

    CiNii Books

    researchmap

  • Assembly accuracy of a holographic memory in an optically reconfigurable gate array

    MORITA HIRONOBU, WATANABE Minoru

    IEICE technical report   108 ( 300 )   5 - 8   2008.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) that consists of a holographic memory, a laser array, and a gate array VLSI. The gate array of the ORGA can be reconfigured optically by using a laser array. However, positioning accuracies between a holographic memory and an ORGA-VLSI and between a laser array and the holographic memory were concerns in terms of manufacture of ORGAs. Therefore, this paper presents allowable alignment errors of holographic memory part and a new technique to compensate the error by adjusting holographic memory patterns.

    CiNii Article

    CiNii Books

    researchmap

  • Fault tolerance of the holographic memory in the large scale opptically reconfigurable gate array

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   108 ( 292 )   21 - 25   2008.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Since embedded devices for spacecraft, satellites, and space stations are vulnerable to effects of high-energy charged particles, we have been developing Optically Reconfigurable Gate Arrays (ORGAs) as one of high reliable devices that consist of a holographic memory, a laser diode arrays and a gate array VLSI. Since an ORGAs can be programmed optically and in perfectly parallel, even if its gate array includes defect portions, the gate array can be used by avoiding such defect portions and by programming the same circuit to the other area. This high defective tolerance is based on the holographic memory with a high defective tolerance. This paper presents that a dynamic ORGA can have such high defect tolerance through some experimental results.

    CiNii Article

    CiNii Books

    researchmap

  • A 13.75ns fast holographic reconfiguration

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   108 ( 292 )   15 - 19   2008.11

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) to realize a virtual large gate count by exploiting the large strage capacity of a holographic memory. Among of them, we have been developing Optically Differential Reconfigurable Gate Arrays (ODRGAs) to achieve faster reconfiguration per unit laser power. This paper shows a 13.75ns dynamic reconfigurations of an ODRGA.

    CiNii Article

    CiNii Books

    researchmap

  • Fast dynamic optically reconfigurable gate array VLSI

    KATO Shinichi, WATANABE Minoru

    IEICE technical report   108 ( 224 )   61 - 65   2008.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs). An ORGA consists of a laser array, a hologram memory, a gate array VLSI. Such ORGA can optically be reconfigured by using two dimensional optical bus in a very short time. Also, since a holographic memory has a large storage capability, an ORGA can achieve large virtual gates. Up to now, an optically differential reconfigurable gate array to increase reconfiguration frequency with no increase of laser power and a dynamic optically reconfigurable gate array to achieve a high gate count VLSI have been proposed. However, architectures with both advantages have never been developed. Therefore, to realize both advantages of rapid configuration and a high gate count, this paper presents a novel fast dynamic optically reconfigurable gate array VLSI that combines both architectures. In this study, a design of the fast dynamic optically reconfigurable gate array VLSI is presented.

    CiNii Article

    CiNii Books

    researchmap

  • A programmable multi-context optical reconfigurable gate array using a PAL-SLM

    KUBOTA Shinya, WATANABE Minoru

    IEICE technical report   108 ( 224 )   67 - 70   2008.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, fast reconfigurable devices, optically reconfigurable gate arrays (ORGAs) have been develped. The ORGA consits of a holographic memory, a laser array, and a gate array VLSI. However, conventional ORGAs have a demerit that they can not be reprogrammed after its fabrication. Therefore, in order to improve the demerit, this paper presents the architecture of a programmable ORGA with four configuration contexts.

    CiNii Article

    CiNii Books

    researchmap

  • Fast configuration experiments of a large-gate optically reconfigurable gate array

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   108 ( 224 )   55 - 59   2008.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optical reconfigurable gate arrays (ORGAs) with a holographic memory have been developed to realize a large virtual gate count VLSI, that is much larger than those of current available VLSIs, by exploiting the large storage capacity of the holographic memory. However, the instantaneous performance of ORGAs depends on the actual gate count of its VLSI part. So, we are developing dynamic optically reconfigurable gate arrays (DORGAs) with a photodiode memory architecture. This paper shows the experimental results of fast reconfigurations using the largest gate count DORGA-VLSI.

    CiNii Article

    CiNii Books

    researchmap

  • A measurement of retention time of a dynamic optically reconfigurable gate array with large gates

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   108 ( 220 )   51 - 55   2008.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can optically be reconfigured in a perfectly parallel. In this device, large gates can be achieved by exploiting the large storage capacity of a holographic memory. However, the instantaneous performance of ORGAs depends on the actual gate count of its VLSI part. So, we have fabricated the world's largest gate count dynamic optically reconfigurable gate array VLSI (DORGA-VLSI) using dynamic architecture that uses photodiode as memory. This paper presents an estimation result of retention time of the DORGA-VLSI chip.

    CiNii Article

    CiNii Books

    researchmap

  • An estimation of a large-scale Dynamic Optically Reconfigurable Gate Array VLSI

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   108 ( 192 )   27 - 31   2008.8

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. In this device, gate array's activity can be increased by exploiting such fast dynamic reconfiguration capabilities. This paper presents the world's largest gate count dynamic optically reconfigurable gate array VLSI and its estimation results.

    CiNii Article

    CiNii Books

    researchmap

  • Fast optical reconfigurations of four-context DORGAs

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   108 ( 192 )   21 - 26   2008.8

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, optically reconfigurable gate arrays (ORGAs) with a holographic memory have been developed to realize large scale circuits as virtual circuits. Also, we have proposed dynamic optically reconfigurable gate arrays (DORGAs) with photodiode memory architecture to realize a high gate density VLSIs. This paper presents the experimental results of a four-context DORGA with a liquid crystal holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • A multi-context dynamic optically reconfigurable gate array using a silver-halide holographic memory

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   108 ( 48 )   61 - 64   2008.5

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. This device uses a hologram memory for storing many reconfiguration contexts. Up to now, we have already presented experimental results of multi-context implementation on liquid crystal holographic memory. However, in this experiment, in order to realize higher recording density, we introduce a silver-halide holographic memory. This paper presents the experimental results of a multi-context configuration using the silver-halide holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • Fast optical reconfigurations of four-contexts ORGAs

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   108 ( 48 )   65 - 69   2008.5

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, Optically Reconfigurable Gate Arrays (ORGAs) with a holographic memory recording a lot of configuration contexts have been developed to enable a rapid reconfiguration. However, the reconfiguration frequency of the first prototype ORGA was insufficient and its gate array can not be executed while being reconfigured. Therefore, we have been developing Optically Differential Reconfigurable Gate Arrays (ODRGAs), a gate array of which can be reconfigured in nanoseconds and can be executed while being reconfigured. So, this paper presents the experimental results of a four-contexts ODRGA system with a liquid crystal hologram.

    CiNii Article

    CiNii Books

    researchmap

  • Fault tolerance analysis for holographic memories in optically reconfigurable gate arrays.

    SHINOHARA Koji, WATANABE Minoru

    2008 ( 2 )   131 - 135   2008.1

     More details

    Language:Japanese   Publisher:Information Processing Society of Japan (IPSJ)  

    Recently, optically reconfigurable gate arrays (ORGAs) that enable high information processing speed are being developed. Such optically reconfigurable gate arrays consist of a laser diode array, a holographic memory, and a gate array VLSI, allowing fast reconfigurations and numerous reconfiguration contexts. Since an ORGA-VLSI can be programmed optically and in perfectly parallel, even if its gate array includes defect portions, the gate array can be used by avoiding such defect portions and by programming the same circuit to the other area. However, it is required that a holographic memory to support such programming must be dependable. So, this paper clarifies noise tolerance properties of holographic configurations.

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://id.nii.ac.jp/1001/00026858/

  • A fast optical reconfiguration experiment of a dynamic optically reconfigurable gate array

    NAKAJIMA Mao, WATANABE Minoru

    2008 ( 2 )   127 - 130   2008.1

     More details

    Language:Japanese   Publisher:Information Processing Society of Japan (IPSJ)  

    Recently, in order to realize fast reconfigurations and numerous reconfiguration contexts, Optically Reconfigurable Gate Array (ORGAs) has been developed. Also, we have proposed a dynamic optically reconfigurable gate array (DORGA) architecture that perfectly removes a static configuration memory to store a single configuration context and uses photodiodes as a configuration memory. So, this paper presents experimental results estimating a fast reconfiguration capability of the DORGA architecture.

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://id.nii.ac.jp/1001/00026857/

  • Analysis of retention time under continuous reconfiguration of a DORGA.

    SETO Daisaku, WATANABE Minoru

    2008 ( 2 )   121 - 125   2008.1

     More details

    Language:Japanese   Publisher:Information Processing Society of Japan (IPSJ)  

    Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. In such ORGAs, we have proposed a dynamic optically reconfigurable gate array (DORGA) architecture that uses photodiode as dynamic memory to store a configuration context, achieving large gates. However, it was concerned that when a certain circuit is programmed to a gate array and works on it, and the other configuration is applied into the different gate array area, the configuration of the other area may affect the working circuit and may reduce the retention time of the working circuit. So, the influence was investigated. This paper shows experimental results demonstrating that the DORGA architecture is effective under dynamic reconfigurations.

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://id.nii.ac.jp/1001/00026856/

  • Fault tolerance analysis for holographic memories in optically reconfigurable gate arrays

    SHINOHARA Koji, WATANABE Minoru

    IEICE technical report   107 ( 415 )   53 - 57   2008.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, optically reconfigurable gate arrays (ORGAs) that enable high information processing speed are being developed. Such optically reconfigurable gate arrays consist of a laser diode array, a holographic memory, and a gate array VLSI, allowing fast reconfigurations and numerous reconfiguration contexts. Since an ORGA-VLSI can be programmed optically and in perfectly parallel, even if its gate array includes defect portions, the gate array can be used by avoiding such defect portions and by programming the same circuit to the other area. However, it is required that a holographic memory to support such programming must be dependable. So, this paper clarifies noise tolerance properties of holographic configurations.

    CiNii Article

    CiNii Books

    researchmap

  • A fast optical reconfiguration experiment of a dynamic optically reconfigurable gate array

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   107 ( 415 )   49 - 52   2008.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, in order to realize fast reconfigurations and numerous reconfiguration contexts, Optically Reconfigurable Gate Array (ORGAs) has been developed. Also, we have proposed a dynamic optically reconfigurable gate array (DORGA) architecture that perfectly removes a static configuration memory to store a single configuration context and uses photodiodes as a configuration memory. So, this paper presents experimental results estimating a fast reconfiguration capability of the DORGA architecture.

    CiNii Article

    CiNii Books

    researchmap

  • Analysis of retention time under continuous reconfiguration of a DORGA

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   107 ( 415 )   43 - 47   2008.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. In such ORGAs, we have proposed a dynamic optically reconfigurable gate array (DORGA) architecture that uses photodiode as dynamic memory to store a configuration context, achieving large gates. However, it was concerned that when a certain circuit is programmed to a gate array and works on it, and the other configuration is applied into the different gate array area, the configuration of the other area may affect the working circuit and may reduce the retention time of the working circuit. So, the influence was investigated. This paper shows experimental results demonstrating that the DORGA architecture is effective under dynamic reconfigurations.

    CiNii Article

    CiNii Books

    researchmap

  • 動的再構成のための配置配線の一手法

    日高 亮, 小林 史典, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   107 ( 341 )   13 - 17   2007.11

     More details

    Language:Japanese   Publisher:一般社団法人電子情報通信学会  

    近年,動的再構成デバイスが実用的に使われ始めているが,時間とともに回路が変化するので,その上に構成する回路の配置配線に,従来の手法は使えない.そこで1つの試みとして,プロセスの微細化に伴う配線遅延の問題を重視してToronto大学のV.Betzらが開発したFPGA用配置配線ツールを,動的再構成向けにカスタマイズすることを行った.

    CiNii Article

    CiNii Books

    researchmap

  • Fast dynamic optical reconfigurations of multi-context ORGAs

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   107 ( 296 )   7 - 12   2007.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, Optically Reconfigurable Gate Arrays (ORGAs) with a holographic memory recording a lot of configuration contexts have been developed to enable a rapid reconfiguration. However, the reconfiguration frequency of the first prototype ORGA was insufficient and its gate array can not be executed while being reconfigured. Therefore, we have been developing Optically Differential Reconfigurable Gate Arrays (ODRGAs), a gate array of which can be reconfigured in nanoseconds and can be executed while being reconfigured. So, this paper presents the experimental results of a multi-context ODRGA architecture with a liquid crystal hologram.

    CiNii Article

    CiNii Books

    researchmap

  • Fast optical configurations using context superimposition

    YAMAGUCHI Naoki, WATANABE Minoru

    IEICE technical report   107 ( 296 )   13 - 16   2007.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, Optically Reconfigurable Gate Arrays (ORGAs) have been developed in order to realize a fast reconfiguration capability. We have been developing an ORGA with a liquid crystal holographic memory including 4 configuration contexts. Among such researches, we have proposed an acceleration method of configuration frequency by superimpositions of the same contexts without any increases of laser power. In this paper, the experimental results of this superimposition method are presented.

    CiNii Article

    CiNii Books

    researchmap

  • An analysis of retention time of a DORGA with a constant irradiation period

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   107 ( 296 )   1 - 6   2007.10

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. Up to now, we have proposed a dynamic optically reconfigurable architecture that uses photodiodes as dynamic memory to store a configuration context and perfectly removes static configuration memory. In this architecture, suitable laser exposure time for each implementation circuit is different from each other. However, the laser exposure time is always designed as a constant. So, the affect for retention time of implementation circuits was concerned. Therefore, this paper presents experimental results of two sample implementation circuits to demonstrate that the architecture is available under such the condition.

    CiNii Article

    CiNii Books

    researchmap

  • Measuremnt for reconfiguration and retention time of a dynamic optically reconfigurable architecture

    SETO Daisaku, WATANABE Minoru

    IEICE technical report   107 ( 225 )   29 - 33   2007.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. Previously proposed ORGAs had a static memory function to store a single configuration context. However, the implementation of the static memory function prevented to realize high integration of ORGA-VLSIs. So, we have proposed a dynamic optically reconfigurable architecture that uses photodiodes as dynamic memory to store a configuration context. This paper presents the measurement results of reconfiguration and retention time in the case of combining the dynamic optically reconfigurable architecture with a holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • A fast optical reconfiguration under an operation of a gate array in an ODRGA-VLSI

    NAKAJIMA Mao, WATANABE Minoru

    IEICE technical report   107 ( 225 )   23 - 27   2007.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, Optically Reconfigurable Gate Arrays (ORGAs) with a holographic memory have been developed to enable rapid reconfiguration. However, since conventional ORGAs used serial transfers between gate arrays and optical receiver arrays, the reconfiguration period was limited to 16μs and operations on a gate array could not be executed while being reconfigured. Therefore, we have been developing Optically Differential Reconfigurable Gate Arrays (ODRGAs) without serial transfers, a gate array of which can be reconfigured in nanoseconds and can be executed while being reconfigured. This paper presents the experimental results of 62.5ns reconfiguration of an ODRGA architecture with a liquid crystal hologram.

    CiNii Article

    CiNii Books

    researchmap

  • Multi-context optically reconfigrable gate array

    YAMAGUCHI Naoki, WATANABE Minoru

    IEICE technical report   107 ( 225 )   19 - 22   2007.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, Optically Reconfigurable Gate Arrays (ORGAs) have been developed in order to realize a fast reconfiguration capability. We have been developing an ORGA with a liquid crystal holographic memory. However, the number of contexts was limited to one. This paper presents experimental results of a multi-context ORGA architecture using a liquid crystal holographic memory.

    CiNii Article

    CiNii Books

    researchmap

  • Reconfiguration speed and power consumption adjustment method for Optically Differential Reconfigurable Gate Arrays

    HIDAKA Ryo, WATANABE Minoru, KOBAYASHI Fuminori

    IEICE technical report   106 ( 246 )   7 - 11   2006.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically Differential Reconfigurable Gate Arrays (ODRGAs) have been developed to realize a bit-by-bit reconfiguration capability. The ODRGA architecture allows to decrease the number of irradiations bits included in a context. On the other hand, the intensity of each bit of diffraction light from a holographic memory increases as decreasing the number of irradiation bits included in a context. This paper experimentally presents the advantage of the acceleration of reconfiguration speed and the reduction of power consumption in ODRGA architecture.

    CiNii Article

    CiNii Books

    researchmap

  • An Optically Reconfigurable Gate Array with manufacturing defect tolerance

    HIDAKA Ryo, WATANABE Minoru, KOBAYASHI Fuminori

    IEICE technical report   106 ( 246 )   1 - 5   2006.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, as VLSI process technologies are progressed, the defective fractions of VLSIs are more increased and the die sizes have to be smaller to decrease the number of defective chips. However, since the need for non-defective large die chips is increased day-by-day, we have developed optically reconfigurable gate arrays (ORGAs) with a parallel programming capablity. This paper presents a high manufacturing defect tolerance of the optically programmable architecture that combines high manufacturing defect tolerance-holographic memory onto an ORGA-VLSI with a parallel programming capablity.

    CiNii Article

    CiNii Books

    researchmap

  • An Optically Reconfigurable Gate Array with a liquid crystal hologram

    NAKADA Yoshiyuki, WATANABE Minoru, KOBAYASHI Fuminori

    IEICE technical report   106 ( 246 )   13 - 16   2006.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, Optically Reconfigurable Gate Arrays (ORGAs) have been developed in order to realize a fast reconfiguration capability. The ORGA consists of laser sources, an optical memory, and a gate array VLSI. Also, we have been developing a new ORGA that uses a liquid crystal holographic memory as an optical memory. This paper presents the new ORGA architecture with a liquid crystal holographic memory. The performance is clarified experimentally.

    CiNii Article

    CiNii Books

    researchmap

  • Optically Differential Reconfigurable Gate Array

    MIYANO Mototsugu, WATANABE Minoru, KOBAYASHI Fuminori

    The IEICE transactions on information and systems   89 ( 9 )   1935 - 1942   2006.9

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://hdl.handle.net/10228/4569

  • Characteristics improvement of PLLs using phase interpolation : Circuit optimization of phase interpolation

    INOUE Manabu, KOBAYASHI Fuminori, WATANABE Minoru

    IEICE technical report   105 ( 504 )   13 - 17   2006.1

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Normal PLL (Phase Locked Loop) compares phases of reference and input at the time of their positive transition. We propose a new PLL using phase interpolation based on a counter with a high-frequency internal clock. The PLL can compare phases more than once a cycle of reference and input, thus reducing jitter and improving resonsiveness. Also we optimize implementation of phase interpolation, to improve circuit size and maximum operating frequency, even if the circuit compares phases many time a cycle.

    CiNii Article

    CiNii Books

    researchmap

  • Improvement reconfiguration time of an Optically Differential Reconfigurable Gate Array using several VCSELs

    MIYANO Mototsugu, WATANABE Minoru, KOBAYASHI Fuminori

    Technical report of IEICE. ICD   105 ( 184 )   67 - 70   2005.7

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically Reconfigurable Gate Arrays have been developed to enable rapid reconfiguration. We have developed an Optically Differential Reconfigurable Gate Array (ODRGA) to realize the reconfiguration of an arbitrary portion in addition to the optically reconfigurable capability. This paper presents a differential reconfiguration architecture to enable arbitrary partial reconfiguration and the structure and specification of an ODRGA-VLSI. Also the improvemnet results of reconfiguration time by using several Vertical Cavity Surface Emitting Lasers for a reconfiguration irradiation are shown.

    CiNii Article

    CiNii Books

    researchmap

  • 272ゲート規模ODRGA-VLSIの実装評価

    志岐 武宣, 渡邊 実, 小林 史典

    映像情報メディア学会技術報告   29 ( 40 )   61 - 65   2005.7

     More details

    Language:Japanese   Publisher:映像情報メディア学会  

    CiNii Article

    CiNii Books

    researchmap

  • A-1-19 An Over-Sampling Phase Detector (OSPD) for Reducing PLL Jitters

    Inoue Manabu, Kobayashi Fuminori, Watanabe Minoru

    Proceedings of the Society Conference of IEICE   19 - 19   2005

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    CiNii Article

    CiNii Books

    researchmap

  • Evaluation of reconfiguration circuits for Optically Reconfigurable Gate Arrays

    FUJIME Ryuji, WATANABE Minoru, KOBAYASHI Fuminori

    IEICE technical report. Computer systems   104 ( 476 )   11 - 15   2004.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Recently, dynamically reconfigurable gate arrays have been focused to realize high performance VLSIs like application specific integrated circuits.We have been developing optically reconfigurable gate arrays, combining an optical memory, a gate array VLSI with photodiodes, optical connections between the optical memory and gate array VLSI.Since the gate array VLSI includes extremely large sets of a photodiode and an optical reconfiguration circuit, the performance of optical reconfiguration circuit affects overall VLSI system. This paper shows some types of optical reconfiguration circuits using 0.35μm CMOS process technology. Also, the evaluation results of operation frequency, implementation area, and power consumption are presented.

    CiNii Article

    CiNii Books

    researchmap

  • An Optically Differential Reconfigurable Gate Array VLSI

    SHIKI Takenori, WATANABE Minoru, KOBAYASHI Fuminori

    IEICE technical report. Computer systems   104 ( 476 )   5 - 9   2004.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Reconfigurable processors based on Field Programmable Gate Arrays (FPGAs) open new computational paradigms since its internal structure can easily be modified according to a given application. However, the reconfiguration time of FPGAs can be significant overhead for reconfigurable applications. An Optically Differential Reconfigurable Gate Array(ODRGA) has large bandwidth optical interconnection and offers high-speed reconfiguration that can not be realized by electrical interconnection. This paper shows a layout of a 153 gate count ODRGA-VLSI chip.

    CiNii Article

    CiNii Books

    researchmap

  • Optically Differential Reconfigurable Gate Array with a Pulse Laser

    MIYANO Mototsugu, WATANABE Minoru, KOBAYASHI Fuminori

    IEICE technical report. Computer systems   104 ( 476 )   1 - 4   2004.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    Optically Reconfigurable Gate Arrays which is one of reconfigurable devices have been developed to enable rapid reconfiguration. We have developed an Optically Differential Reconfigurable Gate Array(ODRGA) to realize the reconfiguration of an arbitrary portion in addition to the optically reconfigurable capability. This paper presents the structure of a fabricated ODRGA-VLSI chip and a differential reconfiguration architecture to enable arbitrary partial reconfiguration. Also shown are the experimental results of reconfiguration onto ODRGA-VLSI chip using a pulse laser and a potential to be reconfigured rapidly.

    CiNii Article

    CiNii Books

    researchmap

  • An Optically Differential Reconfigurable Gate Array

    WATANABE Minoru, KOBAYASHI Fuminori

    2004 ( 102 )   61 - 66   2004.10

     More details

    Language:Japanese   Publisher:Information Processing Society of Japan (IPSJ)  

    This paper presents the design of a high-density optically differential reconfigurable gate array (ODRGA) using a 0.18μm - 5 Metal CMOS process technology. ODRGA is a type of Field Programmable Gate Arrays (FPGAs). However, unlike conventional FPGAs, ODRGAs are reconfigured optically using an external optical system. Although ODRGAs have already been fabricated using a 0.35μm - 3 Metal CMOS process technology, their gate-density remains unsatisfactory. For that reason, a new ODRGA-VLSI chip with four logic blocks, five switching matrices, and 16 I/O bits was fabricated on a 7.82mm^2 chip using more advanced process technology. This paper presents the detailed design of a fabricated ODRGA-VLSI chip, the optical reconfiguration circuit, the gate array structure, the CAD layout, and an ODRGA-VLSI chip mounted on an estimation board. This study also includes experimental results regarding the reconfiguration period.

    CiNii Article

    CiNii Books

    researchmap

    Other Link: http://id.nii.ac.jp/1001/00027281/

  • A dynamic reconfiguration circuit for Optically Differential Reconfigurable Gate Arrays

    FUJIME Ryuji, WATANABE Minoru, KOBAYASHI Fuminori

    Technical report of IEICE. ICD   103 ( 510 )   7 - 10   2003.12

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    A new dynamic reconfiguration circuit for Optically Differential Reconfigurable Gate Arrays (ODRGAs) is proposed to reduce the area occupied by its reconfiguration circuit on a VLSI chip. A dynamic circuit technique is partially introduced into our previously proposed reconfiguration circut using a static technique and the implementation area of the circuit is reduced 42% compared with that of a static technique. This paper shows a new dynamic reconfiguration circuit, its layout for 0.35 um CMOS process, and HSPICE simulation results.

    CiNii Article

    CiNii Books

    researchmap

  • A neural network using finite physical quantities

    WATANABE Minoru, KOBAYASHI Fuminori

    IEICE technical report. Neurocomputing   101 ( 735 )   115 - 118   2002.3

     More details

    Language:Japanese   Publisher:The Institute of Electronics, Information and Communication Engineers  

    In this paper, a novel neural network model using finite physical quantities is proposed. A quantized learning algorithm and a generation method of negative neurons for the model and the recalling capabilities which was confirmed by simulations are presented.

    CiNii Article

    CiNii Books

    researchmap

  • An optimum implementation of Neural Network on FPGA

    Sotohebo T., Watanabe M., Kobayashi F.

    2002   115 - 115   2002

     More details

    Language:Japanese   Publisher:The Japan Society of Mechanical Engineers  

    CiNii Article

    CiNii Books

    researchmap

▼display all

Presentations

  • Vitis AIを用いたCNN実装

    後山 晃彦, 渡邊 誠也, 名古屋 彰, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2021.9.10 

     More details

  • Radiation-hardened optically reconfigurable gate array Invited International conference

    Minoru Watanabe

    The Collaborative Conference on Laser  2020.4 

     More details

    Language:English  

    Venue:Dubai, UAE,  

    researchmap

  • Multi-context holographic memory exploiting a wavelength-dependent optimization technique Invited International conference

    Minoru Watanabe, Junya Ishido

    IEEE International Conference on Photonics  2020.3 

     More details

    Language:English  

    Venue:Kelantan, Malaysia  

    researchmap

  • Place and route tool for optically reconfigurable gate arrays with fault cells International conference

    Yuki Takena, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University  2020.3 

     More details

    Language:English  

    Venue:Shizuoka University  

    researchmap

  • Radiation tolerance of a crystal oscillator circuit International conference

    Yuichi Moriya, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University  2020.3 

     More details

    Language:English  

    Venue:Shizuoka University  

    researchmap

  • Implementation of RISC-V Processor on MAX-10 FPGA International conference

    Md Roman Ahmed, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University  2020.3 

     More details

    Language:English  

    Venue:Shizuoka University  

    researchmap

  • Radiation-hardened optically reconfigurable gate array using a multi-wavelength holographic memory International conference

    Junya Ishido, Minoru Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects  2020.2 

     More details

    Language:English  

    Venue:Stanford University, CA, USA  

    researchmap

  • 光再構成型ゲートアレイのマルチコンテキストスクラビングの耐放射線試験

    髙木雄介,渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2020.1.24 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:慶應義塾大学  

    researchmap

  • 耐放射線光再構成型ゲートアレイのイメージセンサ応用

    喜夛本凌平,渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2020.1.24 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:慶應義塾大学  

    researchmap

  • マルチコンテキスト高速スクラビング

    髙木雄介,渡邊 実

    第63回宇宙科学技術連合講演会  2019.11.7 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アスティとくしま  

    researchmap

  • 多重化回路実装におけるソフトエラー耐性評価

    渡邊 将己,渡邊 実

    第63回宇宙科学技術連合講演会  2019.11.7 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アスティとくしま  

    researchmap

  • 並列処理指向型光再構成型ゲートアレイVLSIにおける回路実装

    伊藤 嘉俊,渡邊 実

    第63回宇宙科学技術連合講演会  2019.11.7 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:アスティとくしま  

    researchmap

  • Full-hardware triple modular and penta-modular redundancies using a high frequency majority voting operation International conference

    Masaki Watanabe, Minoru Watanabe

    IEEE Asia Pacific Conference on Circuits and Systems  2019.11 

     More details

    Language:English  

    Venue:Bangkok, Tailand  

    researchmap

  • Effect of radiation dose of Gamma-Ray irradiation on volume gratings using liquid crystal composites International conference

    Makishi Toda, Akifumi, Ogiwara, Minoru Watanabe

    Microoptics Conference  2019.11 

     More details

    Language:English  

    Venue:Toyama, Japan  

    researchmap

  • Parallel-operation-oriented optically reconfigurable gate array VLSI International conference

    Hirotoshi Ito, Minoru Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2019.10 

     More details

    Language:English  

    Venue:Portland, USA  

    researchmap

  • 光再構成型ゲートアレイのマルチコンテキスト色構成

    石堂 順也,渡邊 実

    第80回応用物理学会秋季学術講演会  2019.9.21 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:北海道大学札幌キャンパス  

    researchmap

  • 三重実装が可能な光再構成型ゲートアレイVLSI

    吉永 透,渡邊 実

    日本原子力学会 2019年秋の大会  2019.9.13 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学五福キャンパス  

    researchmap

  • 水晶振動子を用いた発振回路の放射線耐性

    渡邊 将己,旗持 卓美,渡邊 実

    日本原子力学会 2019年秋の大会  2019.9.13 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    Venue:富山大学五福キャンパス  

    researchmap

  • 光再構成型ゲートアレイVLSIの放射線による特性劣化の評価

    伊藤 嘉俊,渡邊 実

    2019年電子情報通信学会ソサイエティ大会  2019.9.11 

     More details

    Language:Japanese   Presentation type:Oral presentation (general)  

    researchmap

  • Total-ionizing-dose degradation analysis of an optoelectronic field programmable gate array International conference

    Hirotoshi Ito, Minoru Watanabe

    IEEE International System-on-Chip Conference  2019.9 

     More details

    Language:English  

    Venue:Singapore  

    researchmap

  • FPGA implementation of a robot control algorithm International conference

    Yusuke Takaki, Kohei Nagasu, Shin Abiko, Minoru Watanabe, Kentaro Sano

    International Conference on Emerging Technologies and Factory Automation  2019.9 

     More details

    Language:English  

    Venue:Zaragoza, Spain  

    researchmap

  • A 1.15 Grad total-ionizing-dose tolerance parallel operation oriented optically reconfigurable gate array VLSI International conference

    Takumi Fujimori, Minoru Watanabe

    IEEE International Workshop on Metrology for AeroSpace  2019.6 

     More details

    Language:English  

    Venue:Torino, Italy  

    researchmap

  • An optically reconfigurable gate array workable under a strong gamma radiation environment International conference

    Shinya Fujisaki, Takumi Fujimori, Minoru Watanabe

    IEEE- Workshop on Microelectronics and Electron Devices  2019.4 

     More details

    Language:English  

    Venue:Boise State University, USA  

    researchmap

  • 1 Grad radiation-hardened optoelectronic embedded system International conference

    M. Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects  2019.3 

     More details

    Language:English  

    Venue:Stanford, California, USA  

    researchmap

  • ロボット制御アルゴリズムのFPGAへの実装

    髙木雄介, 渡邊 実, 佐野健太郎

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2018.12.6 

     More details

    Language:Japanese  

    Venue:サテライトキャンパスひろしま  

    researchmap

  • 3重実装・光再構成型ゲートアレイVLSI

    吉永 透, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2018.12.6 

     More details

    Language:Japanese  

    Venue:サテライトキャンパスひろしま  

    researchmap

  • Soft-error tolerance of an optically reconfigurable gate array VLSI International conference

    T. Fujimori, M. Watanabe

    INTERNATIONAL CONFERENCE ON SYSTEMS ENGINEERING  2018.12 

     More details

    Language:English  

    researchmap

  • 耐放射線・光電子デバイス Invited

    渡邊 実

    10回 静岡大-核融合科学研究所連携研究フォーラム  2018.11.30 

     More details

    Language:Japanese  

    Venue:静岡大学  

    researchmap

  • Radiation-hardened motor controller International conference

    T. Hatamochi, M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”  2018.11 

     More details

    Language:English  

    Venue:Fukushima, Japan  

    researchmap

  • Many modular redundancy implementation on CPLD International conference

    2018.11 

     More details

    Language:English  

    Venue:Fukushima, Japan  

    researchmap

  • Full-hardware robot controller International conference

    2018.11 

     More details

    Language:English  

    Venue:Fukushima, Japan  

    researchmap

  • An optically reconfigurable gate array using four liquid crystal spatial light modulators

    Y. Takaki, M. Watanabe

    IEEE CPMT Symposium Japan  2018.11 

     More details

    Language:English  

    Venue:Kyoto Univ.  

    researchmap

  • Radiation-hardened power supply unit International conference

    2018.11 

     More details

    Language:English  

    Venue:Fukushima, Japan  

    researchmap

  • Radiation-hardened optically reconfigurable gate array International conference

    2018.11 

     More details

    Language:English  

    Venue:Fukushima, Japan  

    researchmap

  • Triple modular redundancy optically reconfigurable gate array International conference

    2018.11 

     More details

    Language:English  

    Venue:Fukushima, Japan  

    researchmap

  • 光再構成型ゲートアレイVLSIの放射線劣化特性評価

    藤森 卓巳, 渡邊 実

    第63回宇宙科学技術連合講演会  2018.10 

     More details

    Language:Japanese  

    Venue:久留米シティープラザ  

    researchmap

  • 光再構成型ゲートアレイにおける動的再構成

    高木 雄介, 渡邊 実

    第63回宇宙科学技術連合講演会  2018.10 

     More details

    Language:Japanese  

    Venue:久留米シティープラザ  

    researchmap

  • 多重化回路実装におけるソフトエラー耐性の評価

    渡邊 将己, 渡邊 実

    第63回宇宙科学技術連合講演会  2018.10 

     More details

    Language:Japanese  

    Venue:久留米シティープラザ  

    researchmap

  • 超音波センサの耐放射線性能評価

    藤崎 伸也, 渡邊 実

    第63回宇宙科学技術連合講演会  2018.10 

     More details

    Language:Japanese  

    Venue:久留米シティープラザ  

    researchmap

  • Radiation-hardened stabilized power supply unit based on a lithiumion battery International conference

    S. Fujisaki, M. Watanabe

    Radiation and its Effects on Components and Systems conference  2018.9 

     More details

    Language:English  

    Venue:Göteborg, Sweden  

    researchmap

  • Total-Ionizing-Dose Tolerance of the configuration function of MAX3000A CPLDs International conference

    T. Fujimori, M. Watanabe

    Data Workshop  2018.9 

     More details

    Language:English  

    Venue:Göteborg, Sweden  

    researchmap

  • Optically Reconfigurable Gate Array Invited International conference

    M. Watanabe

    DA NEXT BIG THING ROBO  2018.9 

     More details

    Language:English  

    researchmap

  • Effects of radiation exposure on volume gratings formed in liquid crystal composites International conference

    A Ogiwara, M. Toda, M. Watanabe, H. Kakiuchida

    2018 KJF International Conference on Organic Materials for Electronics and Photonics  2018.9 

     More details

    Language:English  

    Venue:Gifu, Japan  

    researchmap

  • Ultrasonic sensor system with a 94 Mrad total-ionizing-dose tolerance International conference

    Fujisaki, M. Watanabe

    IEEE International Conference on Semiconductor Electronics  2018.8 

     More details

    Language:English  

    Venue:Kuala Lumpur, Malaysia  

    researchmap

  • A 400 Mrad radiation-hardened optoelectronic embedded system with a silver-halide holographic memory International conference

    T. Fujimori, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2018.8 

     More details

    Language:English  

    Venue:Edinburgh, UK  

    researchmap

  • FPGAによる自動車の自動走行コンテストについて

    渡邊 実

    第4回 人工知能とHW/SW協調設計ワークショップ  2018.7 

     More details

    Language:Japanese  

    Venue:てんぶす那覇  

    researchmap

  • Optically Reconfigurable Gate Array with a triple modular redundancy International conference

    Toru Yoshinaga, Minoru Watanabe

    International Conference on Space Science and Communication  2018.7 

     More details

    Language:English  

    Venue:ohor, Malaysia  

    researchmap

  • High total-ionizing-dose tolerance field programmable gate array International conference

    T. Fujimori, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2018.5 

     More details

    Language:English  

    researchmap

  • A 603 Mrad total-ionizing-dose tolerance optically reconfigurable gate array VLSI

    2018.5 

     More details

    Venue:Bali, Indonesia  

    researchmap

  • An 807 Mrad total dose tolerance of an optically reconfigurable gate array VLSI

    2018.4 

     More details

    Venue:Massachusetts, USA  

    researchmap

  • 放射線環境でのモータ制御

    旗持卓美, 渡邊実

    電子情報通信学会総合大会  2018.3.23 

     More details

    Language:Japanese  

    Venue:東京電機大学  

    researchmap

  • 光再構成型ゲートアレイVLSIの放射線耐性評価

    藤森卓巳, 渡邊実

    電子情報通信学会総合大会  2018.3.22 

     More details

    Language:Japanese  

    Venue:東京電機大学  

    researchmap

  • 超音波センサの放射線耐性試験

    藤崎伸也, 渡邊実

    電子情報通信学会総合大会  2018.3.21 

     More details

    Language:Japanese  

    Venue:東京電機大学  

    researchmap

  • 光再構成型ゲートアレイの光バスにおけるビットエラーレートの測定

    杉山 和礼, 渡邊実

    電子情報通信学会総合大会  2018.3 

     More details

    Language:Japanese  

    Venue:東京電機大学  

    researchmap

  • FFT implementation using mono-instruction set computer architecture

    2018.2 

     More details

    Venue:Vienna, Austria  

    researchmap

  • 光再構成型ゲートアレイのトータルドーズ耐性

    藤森卓巳, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2018.1.19 

     More details

    Language:Japanese  

    Venue:慶応義塾大学  

    researchmap

  • FPGA Hardware Accelerator for Holographic Memory Calculations for Optically Reconfigurable Gate Arrays

    2017.11 

     More details

    Venue:Okinawa, Japan  

    researchmap

  • Holographic memory calculation FPGA accelerator for optically reconfigurable gate array International conference

    2017.11 

     More details

    Language:English  

    Venue:Orlando, USA  

    researchmap

  • Optically reconfigurable gate array driven by a lithium-ion battery

    2017.11 

     More details

    Venue:Kyoto Univ.  

    researchmap

  • Resistance Evaluation of Holographic Polymer-Dispersed Liquid Crystal Memory for Gamma-Ray Irradiation

    2017.11 

     More details

    Venue:Tokyo, Japan  

    researchmap

  • 宇宙機器向けホログラムメモリ計算のアクセラレーション

    藤森卓巳, 渡邊 実

    第61回宇宙科学技術連合講演会  2017.10 

     More details

    Language:Japanese  

    researchmap

  • VTRを使用したMono Instruction Set Computer の性能解析

    榛葉大樹, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017.9.25 

     More details

    Language:Japanese  

    Venue:(株) ドワンゴ  

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリ計算のハードウェアアクセラレーション

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017.9.25 

     More details

    Language:Japanese  

    Venue:(株) ドワンゴ  

    researchmap

  • Radiation Tolerance Demonstration of High-Speed Scrubbing on an Optically Reconfigurable Gate Array International conference

    2017.8 

     More details

    Language:English  

    Venue:Munich, Germany  

    researchmap

  • Asynchronous optical bus for optical VLSIs

    2017.8 

     More details

    Venue:Luton, UK  

    researchmap

  • Multi-context scrubbing method

    T. Fujimori, M. Watanabe

    IEEE International Midwest Symposium on Circuits and Systems  2017.8 

     More details

  • High-speed scrubbing based on asynchronous optical configuration International conference

    2017.7 

     More details

    Language:English  

    Venue:Singapore  

    researchmap

  • Development of a radiation-hardened embedded system used for robots decommissioning nuclear reactor International conference

    M. Watanabe

    ACTINIDES2017  2017.7 

     More details

    Language:English  

    researchmap

  • 500 Mrad total-ionizing-dose tolerance of a holographic memory on an optical FPGA International conference

    2017.7 

     More details

    Language:English  

    Venue:Pasadena, USA  

    researchmap

  • Gate Density Advantage of Parallel -Operation-Oriented FPGA Architecture International conference

    National Aerospace & Electronics Conference  2017.6 

     More details

    Language:English  

    Venue:Dayton, USA  

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリ部の耐放射線性能試験

    伊藤芳純, 渡邊 実, 荻原昭文

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017.5.22 

     More details

    Language:Japanese  

    Venue:登別温泉第一滝本館  

    researchmap

  • 光再構成型ゲートアレイ向け耐放射線安定化電源

    藤﨑伸也, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017.5.22 

     More details

    Language:Japanese  

    Venue:登別温泉第一滝本館  

    researchmap

  • マルチコンテキストを用いた高速光スクラビング

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017.5.22 

     More details

    Language:Japanese  

    Venue:登別温泉第一滝本館  

    researchmap

  • Error injection analysis for triple modular and penta-modular redundancies

    R. Terada, M. Watanabe

    International Symposium on Next-Generation Electronics  2017.5 

     More details

    Venue:Keelung, Taiwan  

    researchmap

  • 300 Mrad total-ionizing-dose tolerance of a holographic memory on an optically reconfigurable gate array International conference

    2017.5 

     More details

    Language:English  

    Venue:Keelung,Taiwan  

    researchmap

  • Radiation tolerance experiments for a motor controller International conference

    2017.5 

     More details

    Language:English  

    Venue:Keelung, Taiwan  

    researchmap

  • 電源回路の放射線耐性試験

    藤﨑伸也, 渡邊実

    電子情報通信学会総合大会  2017.3.24 

     More details

    Language:Japanese  

    Venue:名城大学  

    researchmap

  • モータコントローラの放射線耐性試験

    旗持卓美, 渡邊実

    電子情報通信学会総合大会  2017.3.23 

     More details

    Language:Japanese  

    Venue:名城大学  

    researchmap

  • 光再構成型ゲートアレイの高速スクラビング手法

    藤森卓巳, 渡邊実

    電子情報通信学会総合大会  2017.3.22 

     More details

  • 液晶ホログラムメモリへの放射線照射による光学特性の影響

    荻原 昭文, 渡邊 実, 伊藤 芳純

    第64回応用物理学会春季学術講演会  2017.3.17 

     More details

    Language:Japanese  

    Venue:パシフィコ横浜  

    researchmap

  • ハードウェアアクセラレータの放射線耐性

    寺田涼, 渡邊実

    電子情報通信学会総合大会  2017.3 

     More details

    Language:Japanese  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイの暗点雑音測定

    榛葉大樹, 渡邊実

    電子情報通信学会総合大会  2017.3 

     More details

    Language:Japanese  

    researchmap

  • Photodiode Response Measurement Technique using Low Laser Intensity

    Bharat Ramanathan, Minoru Watanabe

    電子情報通信学会総合大会  2017.3 

     More details

  • ホログラムメモリの放射線耐性評価

    伊藤芳純, 渡邊 実, 荻原昭文

    電子情報通信学会総合大会  2017.3 

     More details

    Language:Japanese  

    researchmap

  • Optically reconfigurable gate array platform for Mono-instruction set computer arc International conference

    2017.1 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • A 200 Mrad radiation tolerance of a polymer-dispersed liquid crystal holographic memory International conference

    2016.12 

     More details

    Language:English  

    Venue:Sydney, Australia  

    researchmap

  • A 300 Mrad total-ionizing dose experiment of lasers used for holographic memories International conference

    2016.11 

     More details

    Language:English  

    Venue:Kuala Lumpur, Malaysia  

    researchmap

  • Compilation time advantage of parallel-operation-oriented optically reconfigurable gate arrays International conference

    2016.11 

     More details

    Language:English  

    Venue:Melbourne, Australia  

    researchmap

  • レーザアレイの放射線耐性評価

    赤部知也, 渡邊実

    第15回情報科学技術フォーラム(FIT2016)  2016.9.9 

     More details

    Language:Japanese  

    Venue:富山大学  

    researchmap

  • ホログラムメモリの放射線耐性試験

    伊藤芳純, 渡邊実, 荻原昭文

    第15回情報科学技術フォーラム(FIT2016)  2016.9.9 

     More details

    Language:Japanese  

    Venue:富山大学  

    researchmap

  • Photodiode sensitivity measurement methodology using a low light intensity for optically reconfigurable gate arrays International conference

    2016.8 

     More details

    Language:English  

    Venue:Nagoya, Japan  

    researchmap

  • Direct optical communication on an optically reconfigurable gate array International conference

    2016.8 

     More details

    Language:English  

    Venue:Luton, UK  

    researchmap

  • Radiation tolerance of a MEMS mirror device International conference

    2016.7 

     More details

    Language:English  

    Venue:Singapore  

    researchmap

  • Demonstrating a holographic memory having 100 Mrad total-ionizing-dose tolerance International conference

    2016.7 

     More details

    Language:English  

    Venue:London, UK  

    researchmap

  • Architecture-independence negative logic implementation for optically reconfigurable gate arrays International conference

    2016.7 

     More details

    Language:English  

    Venue:London, UK  

    researchmap

  • 光再構成型ゲートアレイの光入力

    榛葉大樹, 古川晋也, Ili Shairah, Abdul Halim, 渡邊 実, 小林史典

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2016.5.19 

     More details

    Language:Japanese  

    Venue:富士通研究所 岡田記念ホール  

    researchmap

  • A 180 Mrad Total-Ionizing Dose Experiment for Laser Arrays on Optically Reconfigurable Gate Arrays International conference

    2016.5 

     More details

    Language:English  

    Venue:San Diego, USA  

    researchmap

  • 液晶ホログラムメモリへの角度多重記録におけるレーザ露光条件の改善

    前田雄大, 荻原昭文, 渡邊 実

    第63回応用物理学会春季学術講演会  2016.3 

     More details

    Language:Japanese  

    researchmap

  • Full FPGA Game Machine International conference

    2016.1 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • Reconfiguration performance recovery method on optically reconfigurable gate arrays International conference

    2016.1 

     More details

    Language:English  

    Venue:Kolkata, India  

    researchmap

  • FPGA Trax Solver based on a Neural Network Design International conference

    2015.12 

     More details

    Language:English  

    Venue:Queenstown, New Zealand  

    researchmap

  • 並列処理指向・光再構成型ゲートアレイへのTMR実装

    伊藤芳純, 渡邊 実

    デザインガイア2015 -VLSI設計の新しい大地  2015.12 

     More details

    Language:Japanese  

    Venue:長崎県勤労福祉会館  

    researchmap

  • 光再構成型ゲートアレイの反転コンフィギュレーション手法のフォールトトレランス評価

    榛葉大樹, 渡邊 実

    デザインガイア2015 -VLSI設計の新しい大地  2015.12 

     More details

    Language:Japanese  

    Venue:長崎県勤労福祉会館  

    researchmap

  • Radiation tolerance experiments of a laser array on an optically reconfigurable gate array International conference

    2015.12 

     More details

    Language:English  

    researchmap

  • Optically Reconfigurable Gate Array Prototype System International conference

    Masato Seo, Minoru Watanabe

    The 1st RIS-MJIIT Workshop on Renewable and Sustainable Integrated Systems 2015  2015.12 

     More details

    Language:English  

    researchmap

  • Triple modular redundancy on parallel-operation-oriented optically reconfigurable gate arrays International conference

    2015.12 

     More details

    Language:English  

    Venue:Bali, Indonesia  

    researchmap

  • Total-ionizing-dose tolerance analysis of an optically reconfigurable gate array VLSI International conference

    2015.12 

     More details

    Language:English  

    Venue:Bali, Indonesia  

    researchmap

  • Sustainable advantage of a parallel configuration in an optical FPGA

    2015.12 

     More details

    Language:English  

    Venue:Nagoya, Japan  

    researchmap

  • Total-Ionizing Dose Tolerance of the Serial Configuration on Cyclone II FPGA

    2015.10 

     More details

    Language:English  

    Venue:New Orleans, USA  

    researchmap

  • Effect of laser exposure condition on formation of holographic emmory by angle-multiplexing recording using liquid crystal composittes

    2015.10 

     More details

    Language:English  

    Venue:Fukuoka, Japan  

    researchmap

  • Fresnel Lens Radiation Shield for Photodiode International conference

    2015.10 

     More details

    Language:English  

    Venue:New Orleans, USA  

    researchmap

  • Triple Modular Redundancy on Parallel-Operation- Oriented FPGA Architectures for Optical Communications International conference

    2015.10 

     More details

    Language:English  

    Venue:New Orleans, USA  

    researchmap

  • 100 Mrad Total-Ionizing Dose Tolerance Experiment of a Laser Array International conference

    2015.10 

     More details

    Language:English  

    Venue:New Orleans, USA  

    researchmap

  • Investigating the radiation tolerance of a laser array for an optically reconfigurable gate array International conference

    2015.10 

     More details

    Language:English  

    Venue:Fukuoka, Japan  

    researchmap

  • 放射線でダメージを受けた光再構成型ゲートアレイのリカバリー手法

    赤部知也, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2015.9.19 

     More details

    Language:Japanese  

    Venue:愛媛大学  

    researchmap

  • Formation of Holographic Memory by Angle-multiplexing Recording in Liquid Crystal Composites International conference

    2015.8 

     More details

    Language:English  

    Venue:Busan, Korea  

    researchmap

  • 光再構成型ゲートアレイの高速スクラビング

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2015.6.20 

     More details

    Language:Japanese  

    Venue:京都大学  

    researchmap

  • Holographic scrubbing technique for a programmable gate array International conference

    2015.6 

     More details

    Language:English  

    Venue:Montreal, Canada  

    researchmap

  • Radiation-hardened Optically Reconfigurable Gate Array Using a Negative Logic Configuration without Necessity of a Dedicated VLSI

    2015.5 

     More details

    Venue:San Diego, USA  

    researchmap

  • High-resolution configuration of optically reconfigurable gate arrays International conference

    2015.5 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • Design of a parallel-operation-oriented FPGA International conference

    2015.5 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • 並列処理指向型FPGAアーキテクチャ

    藤森卓巳, 渡邊 実

    再生可能集積システム時限研究会  2015.4.17 

     More details

    Language:Japanese  

    Venue:明治大学  

    researchmap

  • Total ionizing dose effects of optical components on an optically reconfigurable gate array

    2015.4 

     More details

    Venue:Bochum, Germany  

    researchmap

  • 光再構成型ゲートアレイにおけるレーザアレイに対する放射線耐性

    赤木 昂太, 渡邊 実

    日本光学会 情報フォトニクス研究グループ・関東学生研究論文講演会  2015.3.10 

     More details

    Language:Japanese  

    Venue:静岡大学  

    researchmap

  • MEMSホログラムメモリの放射線耐性

    藤森 卓巳, 渡邊 実

    日本光学会 情報フォトニクス研究グループ・関東学生研究論文講演会  2015.3.10 

     More details

    Language:Japanese  

    Venue:静岡大学  

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリの放射線耐性

    窪田 貴之, 渡邊 実

    日本光学会 情報フォトニクス研究グループ・関東学生研究論文講演会  2015.3.10 

     More details

    Language:Japanese  

    Venue:静岡大学  

    researchmap

  • 2 並列ゲートアレイをもつ並列処理指向型光再構成型ゲートアレイVLSI

    藤森卓巳, 渡邊 実

    電子情報通信学会・総合大会・ISS特別企画学生ポスターセッション  2015.3 

     More details

  • Parallel-Operation-Oriented Optically Reconfigurable Gate Array International conference

    T. Fujimori, M. Watanabe

    GI/ITG International Conference on Architecture of Computing Systems  2015.3 

     More details

    Language:English  

    Venue:Porto, Portugal  

    researchmap

  • 光再構成型ゲートアレイの並列構成法の放射線耐性に関する一考察

    伊藤宏幸, 森脇 烈, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2015.1.29 

     More details

    Language:Japanese  

    Venue:慶応大学  

    researchmap

  • Radiation tolerance of optically reconfigurable gate arrays International conference

    2015.1 

     More details

    Language:English  

    Venue:Shizuoka, Japan  

    researchmap

  • Radiation tolerance experiment for a dynamically reconfigurable vision architecture International conference

    M. Watanabe, S. Kawahito

    International Conference on Advances in Computing, Electronics and Electrical Technology  2014.12 

     More details

    Language:English  

    Venue:Kuala Lumpur, Malaysia  

    researchmap

  • 光再構成型ゲートアレイの色構成手法の放射線耐性

    藤森卓巳, 渡邊実

    宇宙科学技術連合講演会  2014.11.14 

     More details

    Language:Japanese  

    Venue:長崎ブリックホール  

    researchmap

  • 光再構成型ゲートアレイの4色カラー構成

    藤森卓巳, 渡邊実

    再生可能集積システム時限研究会  2014.10.18 

     More details

    Language:Japanese  

    Venue:東洋大学  

    researchmap

  • Dependable Optically Reconfigurable Gate Array Architecture Invited

    M. Watanabe

    International Symposium on Optical Memory  2014.10 

     More details

  • 光再構成型ゲートアレイのホログラムメモリ部の放射線耐性

    森脇烈, 伊藤宏幸, 前川輝, 渡邊実, 荻原昭文

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2014.9.18 

     More details

    Language:Japanese  

    Venue:杜の宿(宮島)  

    researchmap

  • 並列処理指向・光再構成型ゲートアレイVLSI

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2014.9.18 

     More details

    Language:Japanese  

    Venue:杜の宿(宮島)  

    researchmap

  • Image recognition system using an optical Fourier transform on a dynamically reconfigurable vision architecture International conference

    Y. Kamikubo, M. Watanabe, Shoji Kawahito

    IEEE International Symposium on Circuits and Systems  2014.6 

     More details

    Language:English  

    Venue:Melbourne, Australia  

    researchmap

  • A parallel-operation-oriented FPGA architecture

    M. Watanabe

    International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies  2014.6 

     More details

    Language:English  

    Venue:Sendai, Japan  

    researchmap

  • An optically reconfigurable gate array with an angle-multiplexed holographic memory International conference

    R. Moriwaki, H. Maekawa, A. Ogiwara, M. Watanabe

    IEEE/ACM Great Lake Symposium on Very Large Scale Integrated circuits  2014.5 

     More details

    Language:English  

    Venue:Texas, USA  

    researchmap

  • Radiation tolerance of color configuration on an optically reconfigurable gate array International conference

    T. Fujimori, M. Watanabe

    Reconfigurable Architectures Workshop  2014.5 

     More details

    Language:English  

    Venue:Phoenix, USA  

    researchmap

  • Dependable optically differential reconfigurable gate array International conference

    M. Seo, M. Watanabe

    International Conference on Space Optical Systems and Applications  2014.5 

     More details

    Language:English  

    Venue:Kobe, Japan  

    researchmap

  • Enhanced radiation tolerance of an optically reconfigurable gate array by exploiting an inversion/ non-inversion implementation International conference

    T. Yoza, M. Watanabe

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science  2014.4 

     More details

    Language:English  

    Venue:Algarve, Portugal  

    researchmap

  • 色構成手法を用いた光再構成型ゲートアレイの放射線耐性

    藤森卓巳, 渡邊 実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2014.3.20 

     More details

    Language:Japanese  

    Venue:新潟大学  

    researchmap

  • 高密度ダイナミック光再構成型ゲートアレイ

    窪田 貴之, 渡邊 実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2014.3.20 

     More details

    Language:Japanese  

    Venue:新潟大学  

    researchmap

  • 角度多重記録による液晶ホログラムメモリを用いた光再構成試験

    荻原昭文, 前川 輝, 渡邊 実, 森脇 烈

    第61回応用物理学会春季学術講演会  2014.3.18 

     More details

    Language:English  

    Venue:青山学院大学  

    researchmap

  • 光再構成型ゲートアレイへの可変サイズスポット構成手法

    赤木昂太, 渡邊 実

    電子情報通信学会東海支部 卒業研究発表会  2014.3.10 

     More details

    Language:Japanese  

    Venue:三重大学  

    researchmap

  • 光再構成型ゲートアレイへの色構成手法

    藤森卓巳, 渡邊 実

    電子情報通信学会東海支部 卒業研究発表会  2014.3.10 

     More details

    Language:Japanese  

    Venue:三重大学  

    researchmap

  • 差分光再構成型ゲートアレイの放射線耐性向上手法

    瀬尾真人, 渡邊 実

    電子情報通信学会東海支部 卒業研究発表会  2014.3.10 

     More details

    Language:Japanese  

    Venue:三重大学  

    researchmap

  • A high-density optically reconfigurable gate array VLSI using variable holographic memory pattern International conference

    K. Akagi, M. Watanabe

    International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems  2014.3 

     More details

    Language:English  

    Venue:Hawaii, USA  

    researchmap

  • Formation of holographic memory for optically-reconfigurable gate array by angle-multiplexing recording of multi-circuit information in liquid-crystal composites International conference

    A. Ogiwara, H. Maekawa, M. Watanabe, R. Moriwaki

    Proceedings of SPIE  2014.2 

     More details

    Language:English  

    Venue:San Francisco, USA  

    researchmap

  • FPGA Blokus Duo Solver using a massively parallel architecture International conference

    T. Yoza, R. Moriwaki, Y. Torigai, Y. Kamikubo, T. Kubota, T. Watanabe, T. Fujimori, H. Ito, M. Seo, K. Akagi, Y. Yamaji, M. Watanabe

    nternational Conference on Field-Programmable Technology  2013.12 

     More details

    Language:English  

    Venue:Kyoto, Japan  

    researchmap

  • Many-module redundancy implementation of mono instruction set computers for 3D optical FPGAs International conference

    Y. Shirahashi, M. Watanabe

    IEEE Electrical Design of Advanced Packaging & Systems  2013.12 

     More details

    Language:English  

    researchmap

  • Color configuration method for an optically reconfigurable gate array International conference

    T. Fujimori, M. Watanabe

    International Conference on Field-Programmable Technology  2013.12 

     More details

    Language:English  

    Venue:Kyoto, Japan  

    researchmap

  • Mono-instruction set computer architecture on a 3D optically reconfigurable gate array International conference

    H. Ito, M. Watanabe

    IEEE Electrical Design of Advanced Packaging & Systems  2013.12 

     More details

    Language:English  

    Venue:Nara, Japan  

    researchmap

  • 差分光再構成型ゲートアレイの放射線耐性向上実装手法

    瀬尾真人, 渡邊 実

    リコンフィギャラブルシステム研究会  2013.11.28 

     More details

    Language:Japanese  

    Venue:鹿児島県文化センター(宝山ホール)  

    researchmap

  • 負論理回路実装を活用した光再構成型ゲートアレイの放射線耐性の向上手法

    森脇烈, 渡邊実

    宇宙科学技術連合講演会  2013.10.9 

     More details

    Language:Japanese  

    Venue:米子コンベンションセンター  

    researchmap

  • Angle-multiplexing recording of multi-context for optically reconfigurable gate array in holographic memory using liquid crystal composites International conference

    A. Ogiwara, H. Maekawa, M. Watanabe, R. Moriwaki

    Microoptics Conference (MOC’13)  2013.10 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • A dynamic optically reconfigurable gate array using a blue laser,” International Conference on Photonics International conference

    T. Kubota, M. Watanabe

    International Conference on Photonics  2013.10 

     More details

    Language:English  

    Venue:Melaka, Malaysia  

    researchmap

  • フーリエ変換を用いた光再構成型ビジョンチップによる画像認識

    上窪勇貴, 渡邊実, 川人祥二

    電気関係学会 東海支部連合大会  2013.9.24 

     More details

    Language:Japanese  

    Venue:静岡大学  

    researchmap

  • 光再構成型ゲートアレイへの色構成手法

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2013.9.19 

     More details

    Language:Japanese  

    Venue:北陸先端科学技術大学院大学  

    researchmap

  • 光再構成型ゲートアレイへの可変サイズスポット構成手法 International conference

    赤木昂太, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2013.9.19 

     More details

    Language:Japanese  

    Venue:北陸先端科学技術大学院大学  

    researchmap

  • Image recognition operation on a dynamically reconfigurable vision architecture International conference

    Y. Kamikubo, M. Watanabe, S. Kawahito

    International Conference on Field Programmable Logic and Applications  2013.9 

     More details

    Language:English  

    Venue:Porto, Portugal  

    researchmap

  • A fine-grained dependable optically reconfigurable gate array as a multi-soft-core processor platform International conference

    R. Moriwaki, M. Watanabe

    IEEE 7th International Symposium on Embedded Multicore SoCs  2013.9 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • 光再構成用コンテキストデータの液晶ホログラムへの角度多重記録

    前川 輝, 荻原昭文, 渡邊 実, 森脇 烈

    応用物理学会秋季学術講演会  2013.9 

     More details

    Language:Japanese  

    Venue:同志社大学  

    researchmap

  • Fourier Transformation on an Optically Reconfigurable Gate Array International conference

    H. Ito, M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2013.8 

     More details

    Language:English  

    Venue:USA  

    researchmap

  • Formation of Holographic Memory by Recording of Multi-context in Liquid Crystal Composites International conference

    A. Ogiwara, H. Maekawa, M. Watanabe, R. Moriwaki

    Conference on Lasers and Electro-Optics Pacific Rim  2013.7 

     More details

    Language:English  

    Venue:Kyoto, Japan  

    researchmap

  • Configuration on an optically reconfigurable gate array under the maximum 120°C temperature condition International conference

    R. Moriwaki, M. Watanabe, A. Ogiwara

    OptoElectronics and Communications Conference  2013.7 

     More details

    Language:English  

    Venue:Kyoto, Japan  

    researchmap

  • A 7-depth search FPGA Connect6 Solver International conference

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, A. Tanigawa, T. Kubota, H. Ito, Y. Shirahashi, M. Watanabe

    nternational Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2013.6 

     More details

    Language:English  

    Venue:Edinburgh, United Kingdom  

    researchmap

  • A 4-configuration-context optically reconfigurable gate array with a MEMS interleaving method International conference

    Y. Yamaji, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2013.6 

     More details

    Language:English  

    Venue:Torino, Italy  

    researchmap

  • A dependability-increasing demonstration for a 16-configuration context optically reconfigurable gate array International conference

    A. Tanigawa, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2013.6 

     More details

    Language:English  

    Venue:Edinburgh, United Kingdom  

    researchmap

  • MEMS interleaving method for optically reconfigurable gate arrays International conference

    Y. Yamaji, M. Watanabe

    IEEE International Conference on Electro/Information Technology  2013.5 

     More details

    Language:English  

    Venue:South Dakota, USA  

    researchmap

  • 0.18 μm CMOS process photodiode memory

    T. Kubota, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2013.5 

     More details

    Language:English  

    Venue:Beijing, China  

    researchmap

  • Dependability-increasing technique on a multi-context optically reconfigurable gate array International conference

    A. Tanigawa, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2013.5 

     More details

    Language:English  

    Venue:Beijing, China  

    researchmap

  • Power consumption of mono-instruction set computers (MISCs) International conference

    H. Ito, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2013.4 

     More details

    Language:English  

    Venue:Yokohama, Japan  

    researchmap

  • 2コンテキストMEMS光再構成型ゲートアレイ

    山地勇一郎, 渡邊実

    電子情報通信学会 総合大会  2013.3 

     More details

    Language:Japanese  

    Venue:岐阜大学  

    researchmap

  • Dependability-increasing method of processors under a space radiation environment International conference

    2013.3 

     More details

    Language:English  

    Venue:Los Angeles, USA  

    researchmap

  • Temperature Dependable Holographic Memory Using Holographic Polymer-dispersed Liquid Crystal International conference

    2013.3 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • 16コンテキストを活用した光再構成型ゲートアレイの放射線耐性の向上方法

    谷川彰, 渡邊実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2013.3 

     More details

    Language:Japanese  

    Venue:岐阜大学  

    researchmap

  • MISCディペンダブルシステムのStratix V FPGAへの実装

    白橋侑弥, 渡邊実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2013.3 

     More details

    Language:Japanese  

    Venue:岐阜大学  

    researchmap

  • 光再構成型ゲートアレイ用液晶ホログラムメモリの温度依存性

    荻原 昭文, 志智 弘, 渡邊実, 森脇 烈

    応用物理学会春季学術講演会  2013.3 

     More details

    Language:Japanese  

    Venue:神奈川工科大学  

    researchmap

  • 4コンテキストMEMS光再構成型ゲートアレイ

    山地勇一郎, 渡邊実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2013.3 

     More details

    Language:Japanese  

    Venue:岐阜大学  

    researchmap

  • マルチコンテキストを活用した光再構成型ゲートアレイの放射線耐性の向上方法

    谷川彰, 渡邊実

    卒業研究発表会,電子情報通信学会東海支部  2013.3 

     More details

    Language:Japanese  

    Venue:名古屋大学  

    researchmap

  • 高速動的再構成を活用したディペンダブルシステムの構成手法

    白橋侑弥, 渡邊 実

    卒業研究発表会,電子情報通信学会東海支部  2013.3 

     More details

    Language:Japanese  

    Venue:名古屋大学  

    researchmap

  • モノ・インストラクション・セット・コンピュータの実装

    伊藤宏幸, 渡邊実

    卒業研究発表会,電子情報通信学会東海支部  2013.3 

     More details

    Language:Japanese  

    Venue:岐阜大学  

    researchmap

  • 0.18 μm CMOSプロセスダイナミック光再構成型ゲートアレイVLSI

    窪田貴之, 渡邊実

    卒業研究発表会,電子情報通信学会東海支部  2013.3 

     More details

    Language:Japanese  

    Venue:名古屋大学  

    researchmap

  • 28nmプロセスFPGAへのモノ・インストラクション・セット・コンピュータの実装

    伊藤宏幸, 渡邊実

    静岡地区計測制御研究発表会  2012.12 

     More details

    Language:Japanese  

    researchmap

  • 高速動的再構成を活用したディペンダブルシステムの構成手法

    白橋侑弥, 渡邊実

    第56回宇宙科学技連合講演会  2012.11 

     More details

    Language:Japanese  

    researchmap

  • 0.18um CMOS プロセスダイナミック光再構成型ゲートアレイVLSI

    窪田貴之, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012.11 

     More details

    Language:Japanese  

    Venue:九州大学医学部百年講堂  

    researchmap

  • A 9-configuration-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory International conference

    2012.11 

     More details

    Language:English  

    Venue:Shizuoka, Japan  

    researchmap

  • マルチコンテキストを活用した光再構成型ゲートアレイの放射線耐性の向上方法

    谷川彰, 渡邊実

    第56回宇宙科学技連合講演会  2012.11 

     More details

    Language:Japanese  

    researchmap

  • 偏光依存性ホログラムメモリを用いた9コンテキスト光再構成型ゲートアレイ

    森脇烈, 渡邊実, 荻原昭文

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012.11 

     More details

    Language:Japanese  

    Venue:九州大学医学部百年講堂  

    researchmap

  • 光再構成型ビジョンチップによる16諧調グレーレベル画像認識

    上窪勇貴, 渡邊実, 川人祥二

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2012.10 

     More details

    Language:Japanese  

    Venue:岩手県,ホテルルイズ  

    researchmap

  • モノ・インストラクション・セット・コンピュータ(MISC)の消費電力解析

    伊藤宏幸, 渡邊実

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2012.10 

     More details

    Language:Japanese  

    Venue:岩手県,ホテルルイズ  

    researchmap

  • Gray-level image recognition on a dynamically reconfigurable vision architecture International conference

    2012.9 

     More details

    Language:English  

    Venue:New York, USA  

    researchmap

  • モノ・インストラクション・セット・コンピュータ(MISC)の並列実装

    伊藤 宏幸, 渡邊 実

    電気関係学会東海支部連合大会  2012.9 

     More details

  • 高速動的再構成型ビジョンチップアーキテクチャによるアナログ画像検出

    上窪勇貴, 渡邊 実, 川人祥二

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012.9 

     More details

    Language:Japanese  

    Venue:立命館大学  

    researchmap

  • A uniform partitioning method for Mono-Instruction Set Computer (MISC) International conference

    2012.9 

     More details

    Language:English  

    Venue:Melbourne, Australia  

    researchmap

  • 0.18 μmプロセス反転・非反転光再構成型ゲートアレイVLSI

    渡邊 貴弘, 渡邊 実

    電気関係学会東海支部連合大会  2012.9 

     More details

    Language:Japanese  

    Venue:豊橋技術科学大学  

    researchmap

  • 再構成速度調整アナログビットを含む光再構成型ゲートアレイのコンテキスト重ね合わせによる構成高速化手法

    余座貴志, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会  2012.9 

     More details

    Language:Japanese  

    Venue:立命館大学  

    researchmap

  • A 256-configuration-context MEMS optically reconfigurable gate array International conference

    2012.9 

     More details

    Language:English  

    Venue:Kyoto, Japan  

    researchmap

  • 光再構成型ゲートアレイ用マルチコンテキストデータの液晶ホログラム記録

    荻原昭文, 渡邊実

    第73回応用物理学会学術講演会  2012.9 

     More details

    Language:Japanese  

    Venue:愛媛大学  

    researchmap

  • 0.18 um CMOS process high-sensitive differential optically reconfigurable gate array VLSI International conference

    2012.8 

     More details

    Language:English  

    Venue:Amherst, USA  

    researchmap

  • Inversion/Non-Inversion Reconfiguration Scheme for a 0.18 Um CMOS Process Optically Reconfigurable Gate Array VLSI International conference

    2012.8 

     More details

    Language:English  

    Venue:Boise, USA  

    researchmap

  • A 16-configuration-context robust optically reconfigurable gate array with a reconfiguration speed adjustment function International conference

    2012.8 

     More details

    Language:English  

    Venue:Oslo, Norway  

    researchmap

  • Detection and compensation methods of alignment errors between a programmable optically reconfigurable gate array and its writer system International conference

    2012.7 

     More details

    Language:English  

    Venue:Dayton, USA  

    researchmap

  • A 4-configuration Context Fiber-linked Optically Reconfigurable Gate Array International conference

    2012.7 

     More details

    Language:English  

    Venue:Busan, Korea  

    researchmap

  • Optical configuration acceleration on a new optically reconfigurable gate array VLSI using a negative logic implementation International conference

    2012.7 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • A 16-configuration-context dynamic optically reconfigurable gate array with a dependable laser array International conference

    2012.6 

     More details

    Language:English  

    Venue:Nuremberg, Germany  

    researchmap

  • 0.18 um CMOS process high-sensitive optically reconfigurable gate array VLSI International conference

    2012.5 

     More details

    Language:English  

    Venue:Okinawa, Japan  

    researchmap

  • FPGA Connect6 Solver with Hardware Sort Units International conference

    2012.5 

     More details

    Language:English  

    Venue:Okinawa, Japan  

    researchmap

  • High speed - low power optical configuration on an ORGA with a phase-modulation type holographic memory International conference

    2012.5 

     More details

    Language:English  

    Venue:Shanghai, China  

    researchmap

  • 光再構成型ゲートアレイの再構成速度調整手法とレーザアレイ故障からの復旧試験

    余座貴志, 渡邊 実

    電子情報通信学会東海支部卒業研究発表会  2012.3 

     More details

    Language:Japanese  

    Venue:岐阜大学  

    researchmap

  • 0.18 μm CMOSプロセス差分光再構成型ゲートアレイVLSI

    渡邊貴弘, 渡邊実

    情報処理学会 全国大会  2012.3 

     More details

    Language:Japanese  

    Venue:名古屋工業大学  

    researchmap

  • 光再構成型ゲートアレイへの三重回路実装

    鳥飼勇希, 渡邊 実

    電子情報通信学会東海支部卒業研究発表会  2012.3 

     More details

    Language:Japanese  

    Venue:岐阜大学  

    researchmap

  • Mono-instruction computer on a dynamically reconfigurable gate array International conference

    2012.3 

     More details

    Language:English  

    Venue:Beppu, Japan  

    researchmap

  • Triple module redundancy of a laser array driver circuit for optically reconfigurable gate arrays International conference

    2012.3 

     More details

    Language:English  

    Venue:CUHK, Hong Kong  

    researchmap

  • A full dynamically reconfigurable vision-chip system including a lens-array International conference

    2012.3 

     More details

    Language:English  

    Venue:Beppu, Japan  

    researchmap

  • 0.18μmプロセス光再構成型ゲートアレイVLSI

    渡邊貴弘, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012.1 

     More details

    Language:Japanese  

    Venue:慶応大学  

    researchmap

  • Dependable optically reconfigurable gate array Invited

    M. Watanabe

    2012 International Workshop on Advanced Nanovision Science  2012.1 

     More details

  • ダイナミック光再構成型ゲートアレイのレーザアレイ故障からの復旧試験

    余座貴志, 渡邊実

    電子情報通信学会技術研究報告(回路とシステム研究会)  2012.1 

     More details

    Language:Japanese  

    Venue:九州大学  

    researchmap

  • Binary MEMS optically reconfigurable gate array for an artificial brain system International conference

    2012.1 

     More details

    Language:English  

    Venue:Beppu, Japan  

    researchmap

  • 再構成速度調整アナログビットを含む光再構成型ゲートアレイのレーザアレイ故障からの復旧試験

    余座貴志, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会  2012.1 

     More details

    Language:Japanese  

    Venue:慶応大学  

    researchmap

  • An FPGA Connect6 Solver with a Two-Stage Pipelined Evaluation International conference

    2011.12 

     More details

    Language:English  

    Venue:New Delhi, India  

    researchmap

  • Full liquid crystal spatial light modulator writer system for a programmable optically reconfigurable gate array International conference

    2011.11 

     More details

    Language:English  

    Venue:Sendai, Japan  

    researchmap

  • 光再構成型ゲートアレイの再構成速度調整手法

    余座貴志, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2011.11 

     More details

    Language:Japanese  

    Venue:ニューウェルシティ宮崎  

    researchmap

  • Multi-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory International conference

    2011.11 

     More details

    Language:English  

    Venue:Sendai, Japan  

    researchmap

  • Holographic memory formed by multi-context reconfiguring for optically reconfigurable gate array

    2011.11 

     More details

    Language:English  

    Venue:Sendai, Japan  

    researchmap

  • Triple module redundancy scheme on an optically reconfigurable gate array International conference

    2011.11 

     More details

    Language:English  

    Venue:Jeju, Korea  

    researchmap

  • レンズアレイを使用した高速動的再構成型ビジョンチップアーキテクチャ

    上窪勇貴, 渡邊実

    電子情報通信学会技術研究報告(信号処理研究会)  2011.10 

     More details

    Language:Japanese  

    Venue:宮城県 作並温泉  

    researchmap

  • 光再構成型ゲートアレイに対する多数決レーザ駆動回路

    渡邊貴弘, 渡邊実

    電気関係学会東海支部連合大会  2011.9 

     More details

    Language:Japanese  

    Venue:三重大学  

    researchmap

  • 動的再構成ビジョンチップアーキテクチャ上での並列テンプレートマッチング処理

    山地勇一郎, 中田浩成, 渡邊 実, 川人祥二

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2011.9 

     More details

    Language:Japanese  

    Venue:名古屋大学  

    researchmap

  • モノ・インストラクション・コンピュータの実装

    仁平優基, 渡邊実

    電気関係学会東海支部連合大会  2011.9 

     More details

    Language:Japanese  

    Venue:三重大学  

    researchmap

  • A 144-configuration context MEMS optically reconfigurable gate array International conference

    2011.9 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • 光再構成型ゲートアレイへの三重回路実装

    鳥飼勇希, 渡邊実

    電気関係学会東海支部連合大会  2011.9 

     More details

    Language:Japanese  

    Venue:三重大学  

    researchmap

  • Dependable optically reconfigurable gate array with a phase-modulation type holographic memory International conference

    2011.8 

     More details

    Language:English  

    Venue:Chania, Crete, Greece  

    researchmap

  • Novel dynamic module multiple redundancy for optically reconfigurable gate arrays Invited

    M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2011.8 

     More details

  • Dynamic reconfiguration on a dynamically reconfigurable vision-chip architecture

    A. Gundjalam, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2011.7 

     More details

  • Parallel Template Matching Operations on a Dynamically Reconfigurable Vision-Chip Architecture International conference

    2011.6 

     More details

    Language:English  

    Venue:Bordeaux, France  

    researchmap

  • Optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory International conference

    2011.6 

     More details

    Language:English  

    Venue:California, USA  

    researchmap

  • A MEMS writer system embedded for a programmable optically reconfigurable gate array International conference

    2011.6 

     More details

    Language:English  

    Venue:London, United Kingdom  

    researchmap

  • A 16-laser array for an optically reconfigurable gate array International conference

    2011.5 

     More details

    Language:English  

    Venue:Santa Monica, USA  

    researchmap

  • 位相ホログラムを用いたディペンダブル光再構成型ゲートアレイ

    渡邊貴弘, 渡邊実

    LSIとシステムのワークショップ  2011.5 

     More details

    Language:Japanese  

    Venue:北九州国際会議場  

    researchmap

  • A configuration speed acceleration method for a sequential circuit using a negative logic implementation International conference

    2011.5 

     More details

    Language:English  

    Venue:Santa Monica, USA  

    researchmap

  • 位相ホログラムを用いた光再構成型ゲートアレイ

    渡邊貴弘, 渡邊実

    光エレクトロニクス研究会  2011.5 

     More details

  • 256コンテキストMEMS光再構成型ゲートアレイ

    山地勇一郎, 渡邊実

    リコンフィギャラブルシステム研究会  2011.5 

     More details

    Language:Japanese  

    Venue:北海道大学  

    researchmap

  • Reduction method of refresh cycles for a dynamic optically reconfigurable gate array International conference

    2011.4 

     More details

    Language:Japanese  

    Venue:Yokohama, Japan  

    researchmap

  • 宇宙空間用ロバスト・プログラマブルデバイス

    渡邊 貴弘, 渡邊 実

    電子情報通信学会 卒業研究発表会  2011.3 

     More details

    Language:Japanese  

    researchmap

  • 動的光再構成型ビジョンチップを用いたテンプレートマッチング

    中田 浩成, 渡邊 実

    電子情報通信学会 卒業研究発表会  2011.3 

     More details

    Language:Japanese  

    researchmap

  • MEMS interleaving read operation of a holographic memory for optically reconfigurable gate arrays International conference

    2011.3 

     More details

    Language:English  

    Venue:United Kingdom, March  

    researchmap

  • Programming Options for an Optical FPGA with Clockwise Dynamic Reconfigurability International conference

    2011.3 

     More details

    Language:English  

    Venue:Macao, China  

    researchmap

  • MEMSマルチコンテキスト 光再構成型ゲートアレイ

    山地 勇一郎, 渡邊 実

    電子情報通信学会 卒業研究発表会  2011.3 

     More details

    Language:Japanese  

    researchmap

  • プログラマブル光再構成型ゲートアレイとDMDを用いたライター

    久保田,渡邊

    レーザー学会学術講演会第31回年次大会  2011.1 

     More details

    Language:Japanese  

    Venue:電気通信大学  

    researchmap

  • MEMSダイナミック光再構成型ゲートアレイにおけるMEMS組立精度

    森田,渡邊

    電子情報通信学会技術研究報告(VLIS設計研究会)  2011.1 

     More details

    Language:Japanese  

    Venue:慶応大学  

    researchmap

  • A 64-context MEMS optically reconfigurable gate array International conference

    2010.12 

     More details

    Language:English  

    Venue:Beijing, China  

    researchmap

  • Background light affect of a dynamically reconfigurable vision-chip architecture International conference

    2010.12 

     More details

    Venue:Sendai, Japan  

    researchmap

  • ホログラム閾値可変によるMEMSダイナミック光再構成型ゲートアレイの動作効率改善法

    森田,渡邊

    第8回情報学ワークショップ(WiNF2010)  2010.12 

     More details

    Language:Japanese  

    Venue:名古屋大学  

    researchmap

  • Othello solver based on a soft-core MIMD processor array International conference

    2010.12 

     More details

    Language:English  

    Venue:Beijing, China  

    researchmap

  • Multi-context programmable optically reconfigurable gate array using a silver-halide holographic memory International conference

    2010.12 

     More details

    Language:English  

    Venue:Sendai, Japan  

    researchmap

  • ホログラムメモリシステムのレーザーアレイ故障の復旧方法

    渡邊貴弘, 渡邊実

    第54回宇宙科学技術連合講演会  2010.11 

     More details

    Language:Japanese  

    Venue:静岡県コンベンションアーツセンター「グランシップ」  

    researchmap

  • A retention time improvement method for a MEMS dynamic optically reconfigurable gate array International conference

    2010.11 

     More details

    Language:English  

    Venue:Nagoya, Japan  

    researchmap

  • ダイナミック光再構成型ゲートアレイの動的部分再構成の性能評価

    グンジャラム アマルジャルガル,渡邊

    電子情報通信学会技術研究報告(電子部品・材料研究会)  2010.11 

     More details

    Language:Japanese  

    Venue:九州大学  

    researchmap

  • High-speed fiber-linked remote reconfiguration International conference

    2010.11 

     More details

    Language:English  

    Venue:Fukuoka, Japan  

    researchmap

  • Template matching operations on a dynamically reconfigurable vision-chip architecture International conference

    2010.10 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • Development of optically reconfigurable gate arrays Invited

    M. Watanabe

    International Symposium on Optical Memory  2010.10 

     More details

  • Fault tolerance of a holographic storage system International conference

    2010.10 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • Formation of holographic memory using subwavelength grating mask for optically reconfigurable gate array International conference

    2010.10 

     More details

    Language:English  

    Venue:Hsinchu, Taiwan  

    researchmap

  • Recovery method for a laser array failure on Dynamic Optically Reconfigurable Gate Arrays International conference

    2010.10 

     More details

    Language:English  

    Venue:Kyoto, Japan  

    researchmap

  • Estimation of characteristic variation of photodiodes and its compensation method in an optically reconfigurable gate array International conference

    2010.9 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory including six configuration contexts International conference

    2010.9 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • 光再構成型ゲートアレイの応用 Invited

    渡邊 実

    リコンフィギャラブル研究会  2010.9 

     More details

  • 光再構成型ゲートアレイにおけるMEMSアドレッシング技術

    森田,渡邊

    リコンフィギャラブルシステム研究会  2010.9 

     More details

    Language:Japanese  

    Venue:静岡大学  

    researchmap

  • 高速動的光再構成型イメージセンサのよる4点のテンプレートマッチング

    中田, 渡邊実

    機構デバイス研究会  2010.8 

     More details

    Language:Japanese  

    Venue:千歳アルカディアプラザ  

    researchmap

  • A binary MEMS Optically Reconfigurable Gate Array International conference

    2010.8 

     More details

    Language:English  

    Venue:Yamagata, Japan  

    researchmap

  • 偏光依存性ホログラムメモリの偏光スイッチングを用いた光再構成試験

    間渕,渡邊, 荻原,小林

    平成22年度電気関係学会東海支部連合大会  2010.8 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • Relationship between alignment errors of optical components and power consumption in optoelectronic devices International conference

    2010.8 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • 64コンテキストMEMS光再構成型ゲートアレイ

    山地, 渡邊実

    機構デバイス研究会  2010.8 

     More details

    Language:Japanese  

    Venue:千歳アルカディアプラザ  

    researchmap

  • Dynamically reconfigurable vision chip architecture International conference

    2010.8 

     More details

    Language:English  

    Venue:Milano, Italy  

    researchmap

  • 銀塩ホログラムを用いた4コンテキスト・プログラマブル光再構成型ゲートアレイ

    久保田,渡邊

    平成22年度電気関係学会東海支部連合大会  2010.8 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • 光再構成型ゲートアレイのレーザアレイ故障の復旧方法

    渡邊貴弘, 渡邊実

    機構デバイス研究会  2010.8 

     More details

    Language:Japanese  

    Venue:千歳アルカディアプラザ  

    researchmap

  • Excellent Fault Tolerance of a MEMS Optically Differential Reconfigurable Gate Array International conference

    2010.8 

     More details

    Language:English  

    Venue:Sapporo, Japan  

    researchmap

  • Influence Analysis of a Holographic Memory Window of a Programmable Optically Reconfigurable Gate Array International conference

    2010.8 

     More details

    Language:English  

    Venue:Seattle, USA  

    researchmap

  • Fiber remote configuration for a dynamic optically reconfigurable gate array International conference

    2010.7 

     More details

    Language:English  

    Venue:Sapporo, Japan  

    researchmap

  • Partial block-by-block reconfiguration for a dynamic optically reconfigurable gate array

    D. Seto, M, Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2010.7 

     More details

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory International conference

    2010.7 

     More details

    Language:English  

    Venue:Sapporo, Japan  

    researchmap

  • Recovery method for a turn-off failure mode of a laser array on an ORGA International conference

    2010.6 

     More details

    Language:English  

    Venue:California, USA  

    researchmap

  • A four-context optically reconfigurable gate array using a laser array attachment International conference

    2010.6 

     More details

    Language:English  

    Venue:Tsukuba, Japan  

    researchmap

  • Acceleration method of optical reconfigurations using analog configuration contexts International conference

    2010.6 

     More details

    Language:English  

    Venue:California, USA  

    researchmap

  • 明点ビット数の最小化による順序回路の高速構成手法

    森脇,渡邊

    LSIとシステムのワークショップ  2010.5 

     More details

    Language:Japanese  

    Venue:北九州国際会議場  

    researchmap

  • ダイナミック光再構成型ゲートアレイのばらつき補正技術

    青山,渡邊

    LSIとシステムのワークショップ  2010.5 

     More details

    Language:Japanese  

    Venue:北九州国際会議場  

    researchmap

  • A 100-Context Optically Reconfigurable Gate Array

    2010.5 

     More details

    Language:English  

    Venue:Paris, France  

    researchmap

  • Configuration power reduction effect of an ORGA with analog configuration contexts International conference

    2010.4 

     More details

    Language:English  

    Venue:Yokohama, Japan  

    researchmap

  • Power reduction method using negative logic implementation

    R. Moriwaki, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2010.4 

     More details

  • 動的再構成を用いたイメージセンサ

    保田,渡邊

    画像工学研究会  2010.3 

     More details

    Language:Japanese  

    Venue:東北大学  

    researchmap

  • 液晶を用いた光再構成型ゲートアレイ用異方性ホログラムメモリの作製

    荻原,越智, 渡邊実, 間渕,小林

    第57回応用物理学関係連合講演会  2010.3 

     More details

    Language:Japanese  

    Venue:東海大学湘南キャンパス  

    researchmap

  • MEMS dynamic optically reconfigurable gate array usable under a space radiation environment International conference

    2010.3 

     More details

    Language:English  

    Venue:Bangkok, Thailand  

    researchmap

  • 偏光依存性ホログラムメモリを用いた光再構成試験

    間渕,越智, 渡邊, 荻原,小林

    第57回応用物理学関係連合講演会  2010.3 

     More details

    Language:Japanese  

    Venue:東海大学湘南キャンパス  

    researchmap

  • ダイナミック光再構成型ゲートアレイのレーザー故障回避

    瀬戸,渡邊

    第57回応用物理学関係連合講演会  2010.3 

     More details

    Language:Japanese  

    Venue:東海大学湘南キャンパス  

    researchmap

  • 差分光再構成手法に対する不良耐性

    森田,渡邊

    画像工学研究会  2010.3 

     More details

    Language:Japanese  

    Venue:東北大学  

    researchmap

  • ダイナミック光再構成ゲートアレイのブロック再構成

    瀬戸,渡邊

    集積回路研究会  2010.1 

     More details

    Language:Japanese  

    Venue:東芝本社  

    researchmap

  • 銀塩ホログラムを用いたプログラマブル光再構成型ゲートアレイ

    久保田,渡邊

    VLSI設計技術研究会  2010.1 

     More details

    Language:Japanese  

    Venue:慶応義塾大学  

    researchmap

  • アナログコンテクストを用いたフォトダイオード特性の補正手法

    青山,渡邊

    VLSI設計技術研究会  2010.1 

     More details

    Language:Japanese  

    Venue:慶応義塾大学  

    researchmap

  • 光ファイバーを用いたリモートダイナミック光再構成型ゲートアレイ

    上野,渡邊

    VLSI設計技術研究会  2010.1 

     More details

    Language:Japanese  

    Venue:慶応義塾大学  

    researchmap

  • A lens-less imaging holographic memory writer system for a programmable optically reconfigurable gate array International conference

    2009.12 

     More details

    Language:English  

    Venue:Varanasi, INDIA  

    researchmap

  • 宇宙放射線に対して高い耐性を持つ光バッファリング手法

    中島,渡邊

    リコンフィギャラブルシステム研究会  2009.12 

     More details

    Language:English  

    Venue:高知文化プラザ  

    researchmap

  • 4コンテキスト・リモート光再構成型ゲートアレイ

    上野,渡邊

    集積回路研究会  2009.12 

     More details

    Language:English  

    Venue:静岡大学  

    researchmap

  • プログラマブル光再構成型ゲートアレイのホログラム窓の影響解析

    久保田,渡邊

    VLSI設計技術研究会  2009.12 

     More details

    Language:Japanese  

    Venue:高知文化プラザ  

    researchmap

  • MEMSを用いた反転・非反転ダイナミック光再構成型ゲートアレイ

    瀬戸,渡邊

    リコンフィギャラブルシステム研究会  2009.12 

     More details

    Language:Japanese  

    Venue:高知文化プラザ  

    researchmap

  • MEMS inversion/non-inversion dynamic optically reconfigurable gate array International conference

    2009.12 

     More details

    Language:English  

    Venue:Tokyo,Japan  

    researchmap

  • 36-context dynamic optically reconfigurable gate array International conference

    2009.12 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • 100コンテキスト光再構成型ゲートアレイ

    中島,渡邊

    第10回計測自動制御学会システムインテグレーション部門 講演会  2009.12 

     More details

    Language:Japanese  

    Venue:芝浦工業大学  

    researchmap

  • An optical configuration acceleration method using negative logic implementation International conference

    2009.12 

     More details

    Language:English  

    Venue:Varanasi, INDIA  

    researchmap

  • Fault tolerance analysis of MEMS holographic memory for DORGAs International conference

    2009.11 

     More details

    Language:English  

    Venue:Nagoya, Japan  

    researchmap

  • A 36-context optically reconfigurable gate array International conference

    2009.11 

     More details

    Language:English  

    Venue:Busan, Korea  

    researchmap

  • Fiber remote configuration for an optically reconfigurable gate array International conference

    2009.11 

     More details

    Language:English  

    Venue:Busan, Korea  

    researchmap

  • MEMS Optically Differential Reconfigurable Gate Array International conference

    2009.11 

     More details

    Language:English  

    Venue:Xi’an, China  

    researchmap

  • ディジタルミラーデバイスを用いた差分光再構成型ゲートアレイ

    森田,渡邊

    電子情報通信学会技術研究報告(信号処理研究会)  2009.10 

     More details

    Language:Japanese  

    Venue:福井県 芦原温泉  

    researchmap

  • Formation of volume holographic memory using liquid-crystal composites for optically reconfigurable gate array

    2009.10 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • アナログコンテキストを用いた光再構成の最適化手法

    青山,渡邊

    電子情報通信学会技術研究報告(信号処理研究会)  2009.10 

     More details

    Language:Japanese  

    Venue:福井県 芦原温泉  

    researchmap

  • A 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array International conference

    2009.9 

     More details

    Language:English  

    Venue:Kyoto, Japan  

    researchmap

  • MEMSダイナミック光再構成型ゲートアレイの不良耐性

    瀬戸,渡邊

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2009.9 

     More details

    Language:Japanese  

    Venue:大阪大学  

    researchmap

  • 光ファイバを用いたリモート光再構成型ゲートアレイ

    上野,渡邊

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2009.9 

     More details

    Language:Japanese  

    Venue:大阪大学  

    researchmap

  • レンズ結像系を用いない4コンテキストプログラマブル光再構成型ゲートアレイ用ライター

    久保田,渡邊

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2009.9 

     More details

  • 負論理回路実装による光再構成の高速化手法

    森脇,渡邊

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2009.9 

     More details

    Language:Japanese  

    Venue:大阪大学  

    researchmap

  • レンズ結像系を用いないプログラマブル光再構成型ゲートアレイ用ライター

    久保田,渡邊

    宇宙科学技術連合講演会  2009.9 

     More details

    Language:Japanese  

    Venue:宇都宮大学  

    researchmap

  • MEMSを使用した光再構成技術

    森田,渡邊

    宇宙科学技術連合講演会  2009.9 

     More details

    Language:Japanese  

    Venue:京都大学  

    researchmap

  • MEMSを用いたダイナミック光再構成型ゲートアレイ

    瀬戸,渡邊

    電気関係学会東海支部連合大会  2009.9 

     More details

  • 36コンテキスト光再構成形ゲートアレイにおける高速再構成

    中島,渡邊

    電気関係学会東海支部連合大会  2009.9 

     More details

  • 不揮発性液晶ホログラムメモリを用いた光再構成型ゲートアレイの不良耐性

    間渕,宮城, 渡邊,荻原

    宇宙科学技術連合講演会  2009.9 

     More details

    Language:Japanese  

    Venue:京都大学  

    researchmap

  • MEMS Optically Reconfigurable Gate Array International conference

    2009.8 

     More details

    Language:English  

    Venue:Prague, Czech Republic  

    researchmap

  • デジタルミラーデバイスを用いた4コンテキスト光再構成

    森田,渡邊

    電子情報通信学会技術研究報告(光エレクトロニクス研究会)  2009.8 

     More details

    Language:Japanese  

    Venue:東北大学  

    researchmap

  • Fault tolerance of a dynamic optically reconfigurable gate array with a one-time writable volume holographic memory International conference

    2009.8 

     More details

    Language:English  

    Venue:Cancun, Mexico  

    researchmap

  • A multi-context programmable optically reconfigurable gate array without a beam splitter International conference

    2009.8 

     More details

    Language:English  

    Venue:Cancun, Mexico  

    researchmap

  • 36コンテキストダイナミック光再構成形ゲートアレイ

    中島,渡邊

    電子情報通信学会技術研究報告(レーザ・量子エレクトロニクス研究会)  2009.8 

     More details

    Language:Japanese  

    Venue:東北大学  

    researchmap

  • 不揮発性液晶ホログラムメモリを用いたダイナミック光再構成型ゲートアレイの故障耐性

    間渕,宮城, 渡邊,荻原

    電子情報通信学会技術研究報告(回路とシステム研究会)  2009.7 

     More details

    Language:Japanese  

    Venue:釧路市生涯学習センター  

    researchmap

  • Defect tolerance of an optically reconfigurable gate array with a one-time writable volume holographic memory International conference

    2009.7 

     More details

    Language:English  

    Venue:San Francisco, USA  

    researchmap

  • A sixteen-context dynamic optically reconfigurable gate array International conference

    2009.7 

     More details

    Language:English  

    Venue:San Francisco, USA  

    researchmap

  • A multi-context programmable optically reconfigurable gate array International conference

    2009.7 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • Optically reconfigurable gate array with a one-time writable holographic memory International conference

    2009.7 

     More details

    Language:English  

    Venue:Las Vegas, USA,  

    researchmap

  • Alignment compensation method for an optically reconfigurable gate array International conference

    2009.7 

     More details

    Language:English  

    researchmap

  • A sixteen-context optically reconfigurable gate array

    2009.7 

     More details

    Language:English  

    Venue:Boston, USA  

    researchmap

  • Inversion/non-inversion implementation for an 11,424 gate-count dynamic optically reconfigurable gate array VLSI International conference

    2009.7 

     More details

    Language:English  

    Venue:Samos, Greece  

    researchmap

  • デジタルミラーデバイスを用いた光再構成

    森田,渡邊

    電子情報通信学会技術研究報告(回路とシステム研究会)  2009.7 

     More details

    Language:Japanese  

    Venue:釧路市生涯学習センター  

    researchmap

  • A programmable dynamic optically reconfigurable gate array International conference

    2009.6 

     More details

    Language:English  

    Venue:Toulouse, France  

    researchmap

  • A nine-context programmable optically reconfigurable gate array with semiconductor lasers

    2009.5 

     More details

    Language:English  

    Venue:Boston, USA  

    researchmap

  • 光再構成型ゲートアレイの組み立て誤差・総合補正手法

    森田,渡邊

    LSIとシステムのワークショップ  2009.5 

     More details

    Language:Japanese  

    Venue:北九州国際会議場  

    researchmap

  • 斜め入射光源を用いたプログラマブル光再構成型ゲートアレイ

    久保田,渡邊

    LSIとシステムのワークショップ  2009.5 

     More details

    Language:Japanese  

    Venue:北九州国際会議場  

    researchmap

  • Fast reconfiguration experiments of an optically differential reconfigurable gate array with 9 configuration contexts

    2009.5 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • 不揮発性液晶ホログラムメモリを用いたダイナミック光再構成型ゲートアレイ

    間渕,宮城, 渡邊,荻原

    LSIとシステムのワークショップ  2009.5 

     More details

    Language:Japanese  

    Venue:北九州国際会議場  

    researchmap

  • Power reduction effect of an inversion/non-inversion dynamic optically reconfigurable gate array International conference

    2009.4 

     More details

    Language:English  

    Venue:Yokohama, Japan  

    researchmap

  • Dynamic optically reconfigurable gate array with high defect tolerance International conference

    2009.4 

     More details

    Language:English  

    Venue:Yokohama, Japan  

    researchmap

  • 11,424ゲートダイナミック光再構成型ゲートアレイへの多数決回路実装

    瀬戸,渡邊

    第56回応用物理学関係連合講演会  2009.3 

     More details

    Language:English  

    Venue:筑波大学  

    researchmap

  • Fast optical reconfigurations of a nine-context DORGA International conference

    2009.3 

     More details

    Language:English  

    Venue:Karlsruhe, Germany  

    researchmap

  • 16コンテキスト光再構成型ゲートアレイ

    中島,渡邊

    電子情報通信学会技術研究報告(CAS)  2009.3 

     More details

    Language:Japanese  

    Venue:岐阜長良川温泉国際会議場  

    researchmap

  • 不揮発性液晶ホログラムメモリを用いた光再構成型ゲートアレイ

    間渕,宮城, 渡邊,荻原

    電子情報通信学会技術研究報告(CAS)  2009.3 

     More details

    Language:Japanese  

    Venue:岐阜長良川温泉国際会議場  

    researchmap

  • プログラマブル・ダイナミック光再構成型ゲートアレイ

    久保田,渡邊

    第56回応用物理学関係連合講演会  2009.3 

     More details

    Language:Japanese  

    Venue:筑波大学  

    researchmap

  • An estimation of an inversion/non-inversion dynamic optically reconfigurable gate array VLSI International conference

    2009.2 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • Configuration experiments for an optically reconfigurable gate array with a silver-halide holographic memory International conference

    2009.2 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • A triple-module redundancy for an optically reconfigurable gate array

    2009.2 

     More details

    Language:English  

    Venue:Tokyo, Japan  

    researchmap

  • An 11,424 Gate-Count Dynamic Optically Reconfigurable Gate Array with a Photodiode Memory Architecture International conference

    2009.1 

     More details

    Language:English  

    Venue:Yokohama, Japan  

    researchmap

  • 高速動的再構成が可能な光再構成型ゲートアレイ Invited

    渡邊 実

    レーザー学会学術講演会第29回年次大会  2009.1 

     More details

  • An analogue reconfiguration period adjustment technique for optically reconfigurable gate arrays

    2008.12 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • An 11,424 gate dynamic optically reconfigurable gate array VLSI International conference

    2008.12 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • An Optical Configuration of an 11,424 Gate-count Dynamic Optically Reconfigurable Gate Array using a VCSEL International conference

    2008.12 

     More details

    Language:English  

    Venue:Nagoya, Japan  

    researchmap

  • ダイナミック光再構成型ゲートアレイのゲートアレイ部の性能評価

    瀬戸,渡邊

    電子情報通信学会技術研究報告(ICD)  2008.12 

     More details

    Language:Japanese  

    Venue:東工大(大岡山)  

    researchmap

  • Inversion/non-inversion zero-overhead dynamic optically reconfigurable gate array VLSI International conference

    2008.12 

     More details

    Language:English  

    Venue:Taipei, Taiwan  

    researchmap

  • 9コンテキスト差分光再構成型ゲートアレイの高速再構成試験

    中島,渡邊

    電子情報通信学会技術研究報告(ICD)  2008.12 

     More details

    Language:Japanese  

    Venue:東工大(大岡山)  

    researchmap

  • Programmable Optically Reconfigurable Gate Array Architecture using a PAL-SLM International conference

    2008.12 

     More details

    Language:English  

    Venue:Nagoya, Japan  

    researchmap

  • 大規模光再構成型ゲートアレイにおけるホログラムメモリの不良耐性

    瀬戸,渡邊

    電子情報通信学会技術研究報告(SDM)  2008.11 

     More details

    Language:Japanese  

    Venue:機械振興会館  

    researchmap

  • 宇宙放射線に対して高い耐性を持つ光再構成型ゲートアレイの高速再構成試験

    中島,渡邊

    第52回宇宙科学技術連合講演会  2008.11 

     More details

    Language:Japanese  

    Venue:淡路夢舞台国際会議場  

    researchmap

  • 完全並列プログラミングが可能なダイナミック光再構成型ゲートアレイ

    瀬戸,渡邊

    第52回宇宙科学技術連合講演会  2008.11 

     More details

    Language:Japanese  

    Venue:淡路夢舞台国際会議場  

    researchmap

  • 光再構成型ゲートアレイのホログラム部の組み立て精度について

    森田,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008.11 

     More details

    Language:Japanese  

    Venue:北九州学術研究都市  

    researchmap

  • Multi-speed configuration for ORGAs International conference

    2008.11 

     More details

    Language:English  

    Venue:Hyogo, Japan  

    researchmap

  • A 9-context Optically Reconfigurable Gate Array

    2008.11 

     More details

    Language:English  

    Venue:Busan, Korea  

    researchmap

  • 反転・非反ダイナミック転光再構成アーキテクチャのエミュレーション試験

    加藤,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008.11 

     More details

    Language:Japanese  

    Venue:北九州学術研究都市  

    researchmap

  • Allowable alignment errors of components in an optically reconfigurable gate array International conference

    2008.11 

     More details

    Language:English  

    Venue:Hyogo, Japan  

    researchmap

  • 13.75ns高速ホログラム光再構成

    中島,渡邊

    電子情報通信学会技術研究報告(SDM)  2008.11 

     More details

    Language:Japanese  

    Venue:機械振興会館  

    researchmap

  • 9 コンテキスト光再構成型ゲートアレイ

    間渕,渡邊

    情報フォトニクス研究グループ研究会  2008.9 

     More details

    Language:Japanese  

    Venue:寸又峡公民館  

    researchmap

  • 光再構成型ゲートアレイの組み立て許容誤差

    森田,渡邊

    第69回応用物理学会学術講演会  2008.9 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • Optical configuration using a silver-halide holographic memory including four configuration contexts

    D. Seto, M, Watanabe

    International Conference on Solid State Devices and Materials  2008.9 

     More details

  • 再構成時間の最適化が可能なダイナミック光再構成型ゲートアレイ

    間渕,渡邊

    第69回応用物理学会学術講演会  2008.9 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • 高速ダイナミック光再構成型ゲートアレイ VLSI

    加藤,渡邊

    電子情報通信学会技術研究報告(VLD)  2008.9 

     More details

    Language:Japanese  

    Venue:金沢商工会議所会館  

    researchmap

  • Analysis of retention time under multi-configuration on a DORGA International conference

    2008.9 

     More details

    Language:English  

    Venue:Newport Beach, USA  

    researchmap

  • 大規模光再構成型ゲートアレイにおける高速再構成試験

    中島,渡邊

    電子情報通信学会技術研究報告  2008.9 

     More details

  • PAL-SLMを用いたプログラマブルなマルチコンテキスト光再構成型ゲートアレイ

    久保田,渡邊

    電子情報通信学会技術研究報告  2008.9 

     More details

    Language:Japanese  

    Venue:金沢商工会議所会館  

    researchmap

  • 光再構成型ゲートアレイにおけるマルチスピード再構成

    中島,渡邊

    第69回応用物理学会学術講演会  2008.9 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • 光再構成型ゲートアレイへの多数決回路の実装

    野田,渡邊

    第69回応用物理学会学術講演会  2008.9 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • PAL-SLM を用いたプログラマブルな光再構成型ゲートアレイとライター

    久保田,渡邊

    第69回応用物理学会学術講演会  2008.9 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • ゼロオーバーヘッド・高速ダイナミック光再構成型ゲートアレイ VLSI

    加藤,渡邊

    第69回応用物理学会学術講演会  2008.9 

     More details

    Language:Japanese  

    Venue:中部大学  

    researchmap

  • 光再構成型ゲートアレイの組み立て精度

    森田,渡邊

    情報フォトニクス研究グループ研究会  2008.9 

     More details

    Language:Japanese  

    Venue:寸又峡公民館  

    researchmap

  • 反転・非反転ダイナミック光再構成アーキテクチャのゼロオーバーヘッド・ノンゼローバーヘッドVLSI の比較評価

    加藤,渡邊

    情報フォトニクス研究グループ研究会  2008.9 

     More details

    Language:Japanese  

    Venue:寸又峡公民館  

    researchmap

  • 大規模ゲート光再構成型ゲートアレイの保持時間の測定

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2008.9 

     More details

    Language:Japanese  

    Venue:岡山大学  

    researchmap

  • 4コンテキストDORGAにおける高速再構成

    中島,渡邊

    電子情報通信学会技術研究報告  2008.8 

     More details

    Language:Japanese  

    Venue:東北大学  

    researchmap

  • 大規模ダイナミック光再構成型ゲートアレイVLSIの評価

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2008.8 

     More details

    Language:Japanese  

    Venue:東北大学  

    researchmap

  • Multi optical configuration using spreading beams International conference

    N. Yamaguchi, M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2008.8 

     More details

    Language:English  

    researchmap

  • A 770ns holographic reconfiguration of a four-contexts DORGA International conference

    2008.7 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • MISC: Mono Instruction-Set Computer based on Dynamic Reconfiguration --- Proposal and a 6502 Perspective --- International conference

    2008.7 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • A dynamic holographic reconfiguration on a four-context ODRGA International conference

    2008.7 

     More details

    Language:English  

    Venue:Leuven, Belgium  

    researchmap

  • An inversion/non-inversion dynamic optically reconfigurable gate array Invited

    M. Watanabe, M. Nakajima

    World Scientific and Engineering Academy and Society International Conference on CIRCUITS  2008.7 

     More details

  • A double or triple module redundancy model exploiting dynamic reconfigurations International conference

    2008.6 

     More details

    Language:English  

    Venue:Noordwijk, Netherlands  

    researchmap

  • 4コンテキスト光再構成型ゲートアレイによる高速再構成

    中島真央, 渡邊 実

    リコンフィギャラブルシステム研究会  2008.5 

     More details

    Language:Japanese  

    Venue:会津大学  

    researchmap

  • 銀塩ホログラムメモリを用いたマルチコンテキスト・ダイナミック光再構成型ゲートアレイ

    瀬戸大作, 渡邊 実

    リコンフィギャラブルシステム研究会  2008.5 

     More details

    Language:Japanese  

    Venue:会津大学  

    researchmap

  • Defect tolerance of holographic configurations in ORGAs International conference

    2008.4 

     More details

    Language:English  

    Venue:Miami, USA  

    researchmap

  • A 937.5 ns multi-context holographic configuration with a 30.75 us retention time International conference

    2008.4 

     More details

    Language:English  

    Venue:Miami, USA  

    researchmap

  • A dynamic optically reconfigurable gate array with a silver-halide holographic memory International conference

    2008.4 

     More details

    Language:English  

    Venue:Montpellier, France  

    researchmap

  • 2つのVCSELレーザを用いたマルチコンテキスト光再構成型ゲートアレイ

    山口,渡邊

    第55回応用物理学関係連合講演会  2008.3 

     More details

    Language:Japanese  

    Venue:日本大学理工学部  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイにおける高速再構成

    中島,渡邊

    第55回応用物理学関係連合講演会  2008.3 

     More details

    Language:Japanese  

    Venue:日本大学理工学部  

    researchmap

  • 銀塩ホログラムを使用したダイナミック光再構成型ゲートアレイの保持時間の解析

    瀬戸,渡邊

    第55回応用物理学関係連合講演会  2008.3 

     More details

    Language:Japanese  

    Venue:日本大学理工学部  

    researchmap

  • 光再構成型ゲートアレイの組み立て誤差補正手法

    森田,渡邊

    電子情報通信学会技術研究報告(CAS)  2008.1 

     More details

    Language:Japanese  

    Venue:ホテルマリックス(宮崎)  

    researchmap

  • An acceleration and optimization method for optical reconfigurations International conference

    2008.1 

     More details

    Language:English  

    researchmap

  • 光再構成ゲートアレイのホログラムメモリに対する不良耐性解析

    篠原,渡邊

    電子情報通信学会技術研究報告  2008.1 

     More details

    Language:Japanese  

    researchmap

  • 9コンテキスト・プログラマブル光再構成型ゲートアレイとライター

    久保田,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008.1 

     More details

    Language:Japanese  

    Venue:慶応義塾大学(日吉)  

    researchmap

  • 9コンテキスト光再構成ゲートアレイによる高速再構成

    中島,渡邊

    電子情報通信学会技術研究報告(CAS)  2008.1 

     More details

    Language:Japanese  

    Venue:ホテルマリックス(宮崎)  

    researchmap

  • ダイナミック光再構成アーキテクチュアの連続再構成における保持時間の解析

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2008.1 

     More details

    Language:Japanese  

    researchmap

  • An optical reconfiguration system with four-contexts

    N. Yamaguchi, M. Watanabe

    International Conference on VLSI Design  2008.1 

     More details

  • 反転・非反転ダイナミック光再構成アーキテクチャの比較評価

    加藤,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008.1 

     More details

    Language:Japanese  

    Venue:慶応義塾大学(日吉)  

    researchmap

  • 4コンテキスト光再構成型ゲートアレイの実証

    間渕,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008.1 

     More details

    Language:Japanese  

    Venue:慶応義塾大学(日吉)  

    researchmap

  • ダイナミック光再構成型ゲートアレイの高速再構成試験

    中島,渡邊

    電子情報通信学会技術研究報告  2008.1 

     More details

    Language:Japanese  

    researchmap

  • Reconfigurations of a dynamic optically reconfigurable architecture under a constant laser exposure International conference

    2007.12 

     More details

    Language:English  

    researchmap

  • DORGA holographic memory architecture

    M. Watanabe, S. Fukagawa, F. Kobayashi

    IEEE International Conference on Microelectronics  2007.12 

     More details

  • Reconfiguration performance analysis of a dynamic optically reconfigurable gate array architecture International conference

    2007.12 

     More details

    Language:English  

    researchmap

  • A 62.5 ns holographic reconfiguration for an optically differential reconfigurable gate array International conference

    2007.12 

     More details

    Language:English  

    researchmap

  • 動的再構成のための配置配線の一手法

    日高, 小林,渡邊

    電子情報通信学会技術研究報告  2007.11 

     More details

    Language:Japanese  

    researchmap

  • 照射時間を一定としたダイナミック光再構成型ゲートアレイの保持時間の解析

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2007.10 

     More details

    Language:Japanese  

    researchmap

  • ダイナミック光再構成アーキテクチュアの再構成時間と保持時間の測定

    瀬戸,渡邊

    情報通信学会技術研究報告  2007.10 

     More details

    Language:Japanese  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイによる高速動的光再構成

    中島,渡邊

    電子情報通信学会技術研究報告  2007.10 

     More details

    Language:Japanese  

    researchmap

  • コンテキスト重ね合わせによる高速光再構成

    山口,渡邊

    電子情報通信学会技術研究報告  2007.10 

     More details

    Language:Japanese  

    researchmap

  • A 11,424 gate-count zero-overhead dynamic optically reconfigurable gate array VLSI International conference

    2007.9 

     More details

    Language:English  

    researchmap

  • ODRGA-VLSIのゲートアレイ動作時における高速再構成

    中島,渡邊

    電子情報通信学会技術研究報告  2007.9 

     More details

    Language:Japanese  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイ

    山口,渡邊

    電子情報通信学会技術研究報告  2007.9 

     More details

    Language:Japanese  

    researchmap

  • Scaling Rule of Optically Differential Reconfigurable Gate Array VLSIs International conference

    2007.8 

     More details

    Language:English  

    researchmap

  • 272 gate count optically differential reconfigurable gate array VLSI International conference

    2007.6 

     More details

    Language:English  

    researchmap

  • Optimization of reconfiguration speed control bits for an Optically Reconfigurable Gate Array International conference

    2007.6 

     More details

    Language:English  

    researchmap

  • Holographic memory reconfigurable VLSI International conference

    2007.5 

     More details

    Language:English  

    researchmap

  • Power Consumption Reduction Method of Dynamic Optically Reconfigurable Gate Array VLSIs International conference

    2007.4 

     More details

    Language:English  

    researchmap

  • A multi-context holographic memory recording system for Optically Reconfigurable Gate Arrays International conference

    2007.3 

     More details

    Language:English  

    researchmap

  • Superimposing technique of reconfiguration contexts International conference

    2007.2 

     More details

    Language:English  

    researchmap

  • Superimposing technique of reconfiguration contexts for increasing reconfiguration speed International conference

    2007.1 

     More details

    Language:English  

    Venue:Hawaii, USA  

    researchmap

  • A 0.35um CMOS 1,632-gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI International conference

    2007.1 

     More details

    Language:English  

    Venue:Yokohama, Japan  

    researchmap

  • Optically Reconfigurable Gate Arrays vs.ASICs

    2006.12 

     More details

    Language:English  

    Venue:Waterfront, Singapore  

    researchmap

  • Over-Sampling PLL for Low-Jitter and Responsive Clock Synchronization International conference

    2006.10 

     More details

    Language:English  

    Venue:Bangkok, Thailand  

    researchmap

  • A dynamic differential reconfiguration circuit for optically differential reconfigurable gate arrays International conference

    2006.8 

     More details

    Language:English  

    Venue:San Juan, Puerto Rico  

    researchmap

  • A reconfiguration speed adjustment technique for ORGAs with a holographic memory

    2006.8 

     More details

    Language:English  

    Venue:Madrid, Spain  

    researchmap

  • A logic synthesis and place and route environment for ORGAs

    2006.6 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • Shield effect analysis for a gate array on an Optically Reconfigurable Gate Array International conference

    2006.6 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • Differential Reconfiguration Architecture suitable for a Holographic Memory International conference

    2006.6 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • Hybrid Sample Rate Converter with 110dB SNR and 1/10 Less Logic Gates International conference

    2006.5 

     More details

    Language:English  

    Venue:East Lansing, USA  

    researchmap

  • An optically differential reconfigurable gate array with a holographic memory International conference

    2006.4 

     More details

    Language:English  

    Venue:Rhodes Island, Greece  

    researchmap

  • Power consumption advantage of a dynamic optically reconfigurable gate array

    2006.4 

     More details

    Language:English  

    Venue:Rhodes Island, Greece  

    researchmap

  • A 1,632 gate-count zero-overhead Dynamic Optically Reconfigurable Gate Array VLSI International conference

    2006.3 

     More details

    Language:English  

    Venue:Delft, Netherland  

    researchmap

  • A 476-gate-count Dynamic Optically Reconfigurable Gate Array VLSI chip in a standard 0.35um CMOS Technology International conference

    2006.1 

     More details

    Language:English  

    Venue:Yokohama, Japan  

    researchmap

  • 光再構成型ゲートアレイの製造不良耐性

    日高, 渡邊実, 小林

    電子情報通信学会技術研究報告  2006 

     More details

    Language:Japanese  

    researchmap

  • High manufacturing defect- tolerance optically programmable architecture Invited

    M. Watanabe, F. Kobayashi

    World Scientific and Engineering Academy and Society International Conference on circuits, systems, electronics, control & signal processing  2006 

     More details

  • 液晶ホログラムを用いた光再構成型ゲートアレイ

    中田, 渡邊実, 小林

    電子情報通信学会技術研究報告  2006 

     More details

    Language:Japanese  

    researchmap

  • 差分光再構成型ゲートアレイの再構成時間・消費電力の最適化手法

    日高, 渡邊実, 小林

    電子情報通信学会技術研究報告  2006 

     More details

    Language:Japanese  

    researchmap

  • ダイナミック光再構成型ゲートアレイ

    深川, 渡邊実, 小林

    第11回計測自動制御学会九州支部講義会予稿  2006 

     More details

    Language:Japanese  

    researchmap

  • 位相補間によるPLLの特性改善 ~ 位相補間回路の最適化 ~

    井上,小林, 渡邊実

    電子情報通信学会技術研究報告  2006 

     More details

    Language:Japanese  

    researchmap

  • 位相補間によるPLLの特性改善:ジッタ低減のための高精度補間

    井上,小林, 渡邊実

    第11回計測自動制御学会九州支部講義会予稿  2006 

     More details

    Language:Japanese  

    researchmap

  • ゼロオーバーヘッド・ダイナミック光再構成型ゲートアレイ

    後藤, 渡邊実, 小林

    第11回計測自動制御学会九州支部講義会予稿  2006 

     More details

    Language:Japanese  

    researchmap

  • デジタルオーディオの統合開発環境 -RHDLによるFPGA開発-

    山口,小林, 渡邊実

    第11回計測自動制御学会九州支部講義会予稿  2006 

     More details

    Language:Japanese  

    researchmap

  • 動的再構成システム開発環境

    櫻井,小林, 渡邊実

    第11回計測自動制御学会九州支部講義会予稿  2006 

     More details

    Language:Japanese  

    researchmap

  • A zero-overhead Dynamic Optically Reconfigurable Gate Array

    2005.12 

     More details

    Language:English  

    Venue:Singapore  

    researchmap

  • Rapid Reconfiguration of an Optically Differential Reconfigurable Gate Array with Pulse Lasers International conference

    2005.12 

     More details

    Language:English  

    Venue:Singapore  

    researchmap

  • A 51,272-gate-count Dynamic Optically Reconfigurable Gate Array in a standard 0.35um CMOS Technology International conference

    2005.9 

     More details

    Language:English  

    Venue:Kobe, Japan  

    researchmap

  • Fourier/Filter Hybrid Sampling Rate Converter International conference

    2005.8 

     More details

    Language:English  

    Venue:Okayama, Japan  

    researchmap

  • Timing Analysis of an Optically Differential Reconfigurable Gate Array for Dynamically Reconfigurable Processors

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms  2005.5 

     More details

  • A 16,000-gate-count Optically Reconfigurable Gate Array in a standard 0.35um CMOS Technology International conference

    2005.5 

     More details

    Language:English  

    Venue:Kobe, Japan  

    researchmap

  • Optically Differential Reconfigurable Gate Array using an optical system with VCSEL International conference

    2005.5 

     More details

    Language:English  

    Venue:Tampa, USA  

    researchmap

  • An improved dynamic optically reconfigurable gate array International conference

    2005.5 

     More details

    Language:English  

    Venue:Tampa, USA  

    researchmap

  • An Optically Differential Reconfigurable Gate Array VLSI chip with a dynamic reconfiguration circuit International conference

    2005.4 

     More details

    Language:English  

    Venue:Denver, USA  

    researchmap

  • A dynamic optically reconfigurable gate array using dynamic method International conference

    2005.2 

     More details

    Language:English  

    Venue:Algarve, Portugal  

    researchmap

  • 光再構成型ゲートアレイの検査手法

    松尾, 渡邊実, 小林

    第24回計測自動制御学会九州支部学術講演会予稿  2005 

     More details

    Language:Japanese  

    researchmap

  • 272ゲート規模ODRGA-VLSIの実装評価

    志岐, 渡邊実, 小林

    電子情報通信学会技術研究報告  2005 

     More details

    Language:Japanese  

    researchmap

  • 複数のVCSELを用いたODRGAの再構成速度改善

    宮野, 渡邊実, 小林

    電子情報通信学会技術研究報告  2005 

     More details

    Language:Japanese  

    researchmap

  • PLLジッタ低減のためのオーバ・サンプリング位相比較器

    井上,小林, 渡邊実

    電子情報通信学会・2005ソサイエティ大会  2005 

     More details

    Language:Japanese  

    researchmap

  • 位相補間によるPLLの特性改善

    井上,小林, 渡邊実

    第24回計測自動制御学会九州支部学術講演会予稿  2005 

     More details

    Language:Japanese  

    researchmap

  • 光再構成ゲートアレイのプログラム支援環境

    櫻井,小林, 渡邊実

    第24回計測自動制御学会九州支部学術講演会予稿  2005 

     More details

    Language:Japanese  

    researchmap

  • 動的再構成によるシステム実現

    渡邊実, 小林

    第6回システムインテグレーション部門講演会  2005 

     More details

    Language:Japanese  

    researchmap

  • 光再構成型ゲートアレイの再構成回路の評価

    藤目, 渡邊実, 小林

    第24回計測自動制御学会九州支部学術講演会予稿  2005 

     More details

    Language:Japanese  

    researchmap

  • 0.18umプロセスによる差分光再構成型ゲートアレイVLSI

    志岐, 渡邊実, 小林

    第24回計測自動制御学会九州支部学術講演会予稿  2005 

     More details

    Language:Japanese  

    researchmap

  • フィルタ/Fourierハイブリッド型サンプルレートコンバータ

    福井,小林, 渡邊実

    第24回計測自動制御学会九州支部学術講演会予稿  2005 

     More details

    Language:Japanese  

    researchmap

  • An Optically Differential Reconfigurable Gate Array using a 0.18 um CMOS process International conference

    2004.9 

     More details

    Language:English  

    Venue:Santa Clara, USA  

    researchmap

  • A high-density optically reconfigurable gate array using dynamic method International conference

    2004.8 

     More details

    Language:English  

    Venue:Antwerp, Belgium  

    researchmap

  • Sampling rate conversion by Fourier interpolation International conference

    2004.8 

     More details

    Language:English  

    Venue:Sapporo, Japan  

    researchmap

  • An optical reconfiguration circuit for optically reconfigurable Gate Arrays International conference

    2004.7 

     More details

    Language:English  

    Venue:Hiroshima, Japan  

    researchmap

  • Testing method for optical connections using gate array structure in ORGAs International conference

    2004.6 

     More details

    Language:English  

    Venue:Las Vegas, USA  

    researchmap

  • An Optically Differential Reconfigurable Gate Array with a partial reconfiguration optical system and its power consumption estimation International conference

    2004.1 

     More details

    Language:English  

    Venue:Mumbai, India  

    researchmap

  • 差分光再構成型ゲートアレイVLSI

    志岐, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004 

     More details

    Language:Japanese  

    researchmap

  • 差分型光再構成ゲートアレイの領域分割照射

    宮野, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004 

     More details

    Language:Japanese  

    researchmap

  • 0.18umプロセスによる差分光再構成型ゲートアレイ

    渡邊実, 小林

    電子情報通信学会技術研究報告  2004 

     More details

    Language:Japanese  

    researchmap

  • 差分型光再構成ゲートアレイの照射領域と再構成速度の評価

    宮野, 渡邊実, 小林

    第8回システムLSIワークショップ  2004 

     More details

    Language:Japanese  

    researchmap

  • VCSELを用いた差分型光再構成ゲートアレイ

    宮野, 渡邊実, 小林

    電子情報通信学会・集積光デバイス技術時限研究専門委員会  2004 

     More details

    Language:Japanese  

    researchmap

  • ダイナミック差分光再構成型ゲートアレイ

    植田, 渡邊実, 小林

    第23回計測自動制御学会九州支部学術講演会  2004 

     More details

    Language:Japanese  

    researchmap

  • 光再構成型ゲートアレイの検査手法

    松尾, 渡邊実, 小林

    第23回計測自動制御学会九州支部学術講演会予稿  2004 

     More details

    Language:Japanese  

    researchmap

  • パルスレーザを用いた差分型再構成ゲートアレイ

    宮野, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004 

     More details

    Language:Japanese  

    researchmap

  • 光再構成型ゲートアレイの再構成回路の評価

    藤目, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004 

     More details

    Language:Japanese  

    researchmap

  • 差分型光再構成ゲートアレイの光再構成タイミング解析

    宮野, 渡邊実, 小林

    第23回計測自動制御学会九州支部学術講演会予稿  2004 

     More details

    Language:Japanese  

    researchmap

  • 光再構成型ゲートアレイのプログラミング環境

    山角,小林, 渡邊実

    第23回計測自動制御学会九州支部学術講演会予稿  2004 

     More details

    Language:Japanese  

    researchmap

  • フーリエ補間によるサンプリング・レート変換

    井上,小林, 渡邊実

    第23回計測自動制御学会九州支部学術講演会予稿  2004 

     More details

    Language:Japanese  

    researchmap

  • Design of an Optically Differential Reconfigurable Gate Array VLSI chip with optically and electrically controlled logic blocks International conference

    2003.9 

     More details

    Language:English  

    Venue:Portland, USA  

    researchmap

  • A finite physical quantity neural network VLSI with a learning capability International conference

    2003.8 

     More details

    Language:English  

    Venue:Fukui , Japan  

    researchmap

  • Configuration for an Optically Differential Reconfigurable Gate Array International conference

    2003.8 

     More details

    Language:English  

    Venue:Fukui , Japan  

    researchmap

  • An Optically Differential Reconfigurable Gate Array with a dynamic reconfiguration circuit

    2003.4 

     More details

    Language:English  

    Venue:Nice, France  

    researchmap

  • 差分光再構成型ゲートアレイに使用するダイナミック再構成回路

    藤目, 渡邊実, 小林

    電子情報通信学会技術研究報告  2003 

     More details

    Language:Japanese  

    researchmap

  • 差分型光再構成ゲートアレイの回路実装評価

    宮野, 渡邊実, 小林

    第7回システムLSIワークショップ  2003 

     More details

    Language:Japanese  

    researchmap

  • 差分型光再構成ゲートアレイの連続再構成

    宮野, 渡邊実, 小林

    第22回計測自動制御学会九州支部学術講演会予稿  2003 

     More details

    Language:Japanese  

    researchmap

  • 差分型光再構成ゲートアレイVLSI部の遅延シミュレーション

    志岐, 渡邊実, 小林

    第22回計測自動制御学会九州支部学術講演会予稿  2003 

     More details

    Language:Japanese  

    researchmap

  • 差分型光再構成ゲートアレイの開発支援システム

    中村, 小林, 渡邊実

    第22回計測自動制御学会九州支部学術講演会予稿  2003 

     More details

    Language:Japanese  

    researchmap

  • An optically differential reconfigurable gate array and its power consumption estimation International conference

    2002.12 

     More details

    Language:English  

    Venue:Hong Kong  

    researchmap

  • A Compressed Implementation of Neural Network with Finite Physical Quantities on FPGAs International conference

    2002.8 

     More details

    Language:English  

    Venue:Osaka, Japan  

    researchmap

  • A Neural Network Model using Finite Physical Quantities and its Realization on LSIs International conference

    2002.8 

     More details

    Language:English  

    Venue:Osaka, Japan  

    researchmap

  • Motion Image Compression Circuit using the Silicon Retina as Active Sensor International conference

    2002.8 

     More details

    Language:English  

    Venue:Osaka, Japan  

    researchmap

  • 有限な物理量を用いたニューラルネットワークモデルのLSI実装

    渡邊実, 小林

    第21回計測自動制御学会九州支部学術講演会予稿  2002 

     More details

    Language:Japanese  

    researchmap

  • 有限な物理量を用いたニューラルネットワーク

    渡邊実, 小林

    電子情報通信学会技術研究報告  2002 

     More details

    Language:Japanese  

    researchmap

  • 時間領域におけるサンプリングレート変換

    三浦, 小林, 渡邊実

    第21回計測自動制御学会九州支部・学術講演会予稿集  2002 

     More details

    Language:Japanese  

    researchmap

  • 有限な物理量を用いたニューラルネットワークモデルのVLSI実装

    渡邊実, 小林

    第6回システムLSIワークショップ  2002 

     More details

    Language:Japanese  

    researchmap

  • An optical energy neural networkwith self-organizing capability International conference

    2001.7 

     More details

    Language:English  

    Venue:Tokushima, Japan  

    researchmap

  • FPGAを利用したニューラルネットワークのハードウエア実現

    外枦保, 渡邊実, 小林

    第40回計測自動制御学会予稿集  2001 

     More details

    Language:Japanese  

    researchmap

  • 新型エネルギーニューラルネットワークモデルのハードウエア実現

    渡邊実, 外枦保, 小林

    第40回計測自動制御学会予稿集  2001 

     More details

  • ニューラルネットワークの回路実現 :再構成による可変パラメータアーキテクチャー

    外枦保, 渡邊実, 小林

    第5回システムLSIワークショップ  2001 

     More details

    Language:Japanese  

    researchmap

  • 光再構成型ゲートアレイ

    松本, 渡邊実, 小林

    第5回システムLSIワークショップ  2001 

     More details

    Language:Japanese  

    researchmap

  • 知能化工作機械のためのセンサー内蔵型計測工具

    千, 小林, 渡邊実

    第20回計測自動制御学会九州支部学術講演会予稿集  2001 

     More details

  • シリコン網膜アクティブセンサによる動画像圧縮回路

    尼崎, 小林, 渡邊実, 八木

    第20回計測自動制御学会九州支部学術講演会予稿集  2001 

     More details

  • エネルギーニューラルネットワークモデルを用いた負ニューロン生成方法

    渡邊実, 小林

    第20回計測自動制御学会九州支部学術講演会予稿集  2001 

     More details

  • SIMD型アーキテクチュアにおける規則的不均一演算実装の手法

    松永, 小林, 渡邊実, 石川, 廣津

    第40回計測自動制御学会予稿集  2001 

     More details

    Language:Japanese  

    researchmap

  • 光再構成型ゲートアレイとその応用

    緒方, 渡邊実, 小林

    第40回計測自動制御学会予稿集  2001 

     More details

    Language:Japanese  

    researchmap

  • アナログビジョンチップのための適応型前処理回路

    大都, 小林, 渡邊実, 八木

    第19回計測自動制御学会九州支部学術講演会予稿集  2000 

     More details

    Language:Japanese  

    researchmap

  • 多層エネルギー制限型ニューラルネットワークシステム

    渡邊実, 小林

    第19回計測自動制御学会九州支部学術講演会予稿集  2000 

     More details

    Language:Japanese  

    researchmap

  • ニューラルネットワークの論理圧縮手法とそのLSI化

    渡邊実, 小林

    第39回計測自動制御学会学術講演会予稿集  2000 

     More details

    Language:Japanese  

    researchmap

  • 光再構成型ゲートアレイと応用

    緒方, 渡邊実, 小林

    第19回計測自動制御学会九州支部学術講演会予稿集  2000 

     More details

    Language:Japanese  

    researchmap

  • デジタルビジョンチップによる並列特徴抽出

    松永, 小林, 渡邊実, 廣津

    第19回計測自動制御学会九州支部学術講演会予稿集  2000 

     More details

    Language:Japanese  

    researchmap

  • 2値マトリックスを持つ光アソシアトロンの階層化

    渡邊実, 大坪

    第41回応用物理学会予稿集  1994 

     More details

    Language:Japanese  

    researchmap

  • 光RAMとLCTVを用いた光アソシアトロンの学習想起システムの検討

    渡邊実, 大坪

    第40回応用物理学会予稿集  1993 

     More details

    Language:Japanese  

    researchmap

  • FLCとLCTVを用いた光アソシアトロンの学習

    渡邊実, 大坪, 竹森

    第40回応用物理学会予稿集  1993 

     More details

    Language:Japanese  

    researchmap

  • 微小レンズアレイとLCTVを用いた光アソシアトロン

    渡邊実, 荻原, 大坪

    第39回応用物理学会予稿集  1992 

     More details

    Language:Japanese  

    researchmap

▼display all

Awards

  • 社会貢献賞

    2023.3   岡山大学  

    渡邊実, 渡邊誠也

     More details

  • 学生奨励賞

    2023.3   情報処理学会第85回全国大会  

    辻野 将

     More details

  • 教育功労賞

    2022.3   電子情報通信学会   FPGA自動運転競技大会によるディジタルシステム教育の普及

    渡邊実

     More details

  • Best Paper Award

    2022.1   IEEE Annual Computing and Communication Workshop and Conference (IEEE)  

    M. Watanabe

     More details

  • Best Paper Award

    2022.1   IEEE Annual Computing and Communication Workshop and Conference (IEEE)  

    A. Ushiroyama

     More details

  • 優秀プレゼンテーション賞

    2021.12   パルテノン研究会   FSLによる3値化CNNのFPGA実装

    尾崎洸人

     More details

  • 学生研究奨励賞

    2019.6   社団法人電子情報通信学会東海支部  

    髙木雄介

     More details

    Country:Japan

    researchmap

  • VDEC デザインアワード 優秀賞

    2018.9   東京大学 大規模集積システム設計教育センター   耐放射線FPGA

    藤森卓巳

     More details

  • 学生研究奨励賞

    2018.6   社団法人電子情報通信学会東海支部  

    榛葉大樹

     More details

  • First Place in the FPGA Design Competition

    2017.7   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, Y. Ito, R. Terada, S. Fujisaki, T. Hatamochi, H. Shinba, M. Watanabe

     More details

  • 学生研究奨励賞

    2017.6   社団法人電子情報通信学会東海支部  

    伊藤芳純

     More details

  • Best Paper Award

    2017.1   IEEE Annual Computing and Communication Workshop and Conference  

    Minoru Watanabe

     More details

  • First Place of FPGA Design Competition

    2016.12   International Conference on Field-Programmable Technology  

    T. Fujimori, Y. Ito, R. Terada, S. Fujisaki, T. Hatamochi, H. Shinba, M. Watanabe

     More details

  • エンベデッド部門 優勝

    2016.9   第7回 相磯秀夫杯 デザインコンテスト(FIT2016 第15回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 伊藤芳純, 渡邊実

     More details

  • 一般部門 優勝

    2016.9   第7回 相磯秀夫杯 デザインコンテスト(FIT2016 第15回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 伊藤芳純, 渡邊実

     More details

  • First Place of the Limited Category in the FPGA Design Competition

    2016.7   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, Y. Ito, T. Akabe, M. Watanabe

     More details

  • Excellent Oral Presentation Award

    2016.7   International Conference on Mechanical and Aerospace Engineering (IEEE)  

    Minoru Watanabe

     More details

  • First Place of the Unlimited Category in the FPGA Design Competition

    2016.7   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, Y. Ito, T. Akabe, M. Watanabe

     More details

  • 学生研究奨励賞

    2016.6   社団法人電子情報通信学会東海支部  

    赤部知也

     More details

  • First Place in FPGA Design Competition 2015

    2015.12   International Conference on Field-Programmable Technology  

    T. Fujimori, T. Akabe, Y. Ito, K. Akagi, S. Furukawa, A. Tanibata, M. Watanabe

     More details

  • Best Student Paper Award

    2015.12   IEEE International Conference on Aerospace Electronics and Remote Sensing Technology  

    Yoshizumi Ito

     More details

  • 一般部門 優勝

    2015.9   第6回 相磯秀夫杯 デザインコンテスト(FIT2015 第14回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 赤部知也, 伊藤芳純, 瀬尾真人, 赤木昂太, 古川晋也, 渡邊実

     More details

  • エンベデッド部門 優勝

    2015.9   第6回 相磯秀夫杯 デザインコンテスト (FIT2015 第14回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 赤部知也, 伊藤芳純, 瀬尾真人, 赤木昂太, 古川晋也, 渡邊実

     More details

  • First Place in The MathWorks Blokus Duo Design Contest

    2015.6   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, S. Furukawa, H. Ito, M. Watanabe

     More details

  • 学生研究奨励賞

    2015.6   社団法人電子情報通信学会東海支部  

    瀬尾真人

     More details

  • Second Prize in FPGA Design Contest 2014

    2014.12   IEEE International Conference on Field-Programmable Technology  

    T. Mabuchi, T. Watanabe, R. Moriwaki, Y. Aoyama, A. Gundjalam, Y. Yamaji, H. Nakada, M Watanabe

     More details

  • Third Prize in FPGA Design Contest 2014

    2014.12   International Conference on Field-Programmable Technology  

    T. Fujimori, R. Moriwaki, M. Seo, K. Akagi, H. Ito, T. Kubota, S. Furukawa, M. Watanabe

     More details

  • 学生研究奨励賞

    2014.6   社団法人電子情報通信学会東海支部  

    藤森 卓巳

     More details

  • HDL Champion of the Regulation League in FPGA Design Contest 2014 AISO CUP

    2014.6   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, R. Moriwaki, T. Yoza, Y. Torigai, M. Watanabe

     More details

  • Winner of the Open League in FPGA Design Contest 2014 AISO CUP

    2014.6   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, R. Moriwaki, T. Yoza, Y. Torigai, M. Watanabe

     More details

  • Champion of the Regulation League in FPGA Design Contest 2014 AISO CUP

    2014.6   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, R. Moriwaki, T. Yoza, Y. Torigai, M. Watanabe

     More details

  • Best Student Paper Award

    2014.5   International Conference on Space Optical Systems and Applications  

    M. Seo

     More details

  • Best Paper Award

    2014.4   International Workshop on Applied Reconfigurable Computing  

    T. Yoza, M. Watanabe

     More details

  • Presentation Master Award

    2014.3   International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems  

    K. Akagi, M. Watanabe

     More details

  • 優秀卒業研究発表賞

    2014.3   電子情報通信学会 東海支部 卒業研究発表会  

    瀬尾真人

     More details

  • 卓越研究者

    2014.1   静岡大学  

    Watanabe Minoru

     More details

  • First Place in FPGA Design Contest 2013 AISO CUP HDL

    2013.12   IEEE International Conference on Field-Programmable Technology  

    T. Yoza, R. Moriwaki, Y. Torigai, Y. Kamikubo, T. Kubota, T. Watanabe, T. Fujimori, H. Ito, M. Seo, K. Akagi, Y. Yamaji, M. Watanabe

     More details

  • First Place in ICFPT Design Competition 2013

    2013.12   IEEE International Conference on Field-Programmable Technology  

    T. Yoza, R. Moriwaki, Y. Torigai, Y. Kamikubo, T. Kubota, T. Watanabe, T. Fujimori, H. Ito, M. Seo, K. Akagi, Y. Yamaji, M. Watanabe

     More details

  • 優勝(第3回相磯秀夫杯FPGAデザインコンテスト)

    2013.9   電子情報通信学会・リコンフィギャラブルシステム研究会  

    森脇烈, 余座貴志, 渡邊貴弘, 鳥飼勇希, 上窪勇貴, 山地勇一郎, 窪田貴之, 伊藤宏幸, 渡邊実

     More details

  • Best Poster Award

    2013.6   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    M. Watanabe

     More details

  • 学生研究奨励賞

    2013.6   社団法人電子情報通信学会東海支部  

    余座貴志

     More details

  • 優秀ポスター賞

    2013.3   電子情報通信学会・総合大会「ISS特別企画・学生ポスターセッション」  

    山地勇一郎

     More details

  • First Place in ICFPT Design Competition

    2012.12   IEEE International Conference on Field-Programmable Technology  

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, A. Tanikawa, T. Kubota, H. Ito, Y. Shirahashi, M. Watanabe

     More details

  • First Place in FPGA Design Contest

    2012.6   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    R. Moriwaki, T. Yoza, T. Watanabe, Y. Kamikubo, Y. Torigai, Y. Shirahashi, H. Ito, T. Kubota, A. Tanikawa, Y. Yamaji, Y. Aoyama, M. Seo, M. Watanabe

     More details

  • 学生研究奨励賞

    2012.6   社団法人電子情報通信学会東海支部  

    山地勇一郎

     More details

  • 学生奨励賞

    2012.3   情報処理学会・全国大会  

    渡辺貴弘

     More details

  • テレコムシステム技術学生賞

    2012.3   財団法人 電気通信普及財団  

    久保田 慎也

     More details

  • 社会人基礎力準大賞 社会人基礎力育成グランプリ2012決勝大会

    2012.2   日本経済新聞社,経済産業省  

    上野 由美子, 渡辺 貴弘, 仁平優基, 森脇烈, 山地勇一郎, 上窪勇貴, 鳥飼勇希, 余座貴志, 青山裕司, 渡邊 実

     More details

  • First Place in ICFPT Design Competition 2011

    2011.12   IEEE International Conference on Field-Programmable Technology  

    T. Watanabe, R. Moriwaki, Y. Yamaji, Y. Kamikubo, Y. Torigai, Y. Nihira, T. Yoza, Y. Ueno, Y. Aoyama, M. Watanabe

     More details

  • 優秀賞 社会人基礎力育成グランプリ・関東地区大会

    2011.11   日本経済新聞社(経済産業省)  

    上野 由美子, 渡辺 貴弘, 仁平優基, 森脇烈, 山地勇一郎, 上窪勇貴, 鳥飼勇希, 余座貴志, 青山裕司, 渡邊 実

     More details

  • デザインガイヤポスター賞

    2011.11   デザインガイア(電子情報通信学会・情報処理学会)  

    余座 貴志

     More details

  • 優勝 第1回相磯秀夫杯FPGAデザインコンテスト

    2011.11   電子情報通信学会・リコンフィギャラブルシステム研究会  

    渡辺貴弘, 森脇烈, 山地勇一郎, 上窪勇貴, 鳥飼勇希, 仁平優基, 余座貴志, 上野由美子, 青山裕司, 渡邊実

     More details

  • 国際会議研究発表者賞

    2011.10   電子情報通信学会・東海支部  

    森脇 烈

     More details

  • SLDM研究会優秀発表学生賞

    2011.8   社団法人情報処理学会システムLSI設計技術研究会  

    森田 裕宣

     More details

  • 学生研究奨励賞

    2011.6   社団法人電子情報通信学会東海支部  

    森脇 烈

     More details

  • 優秀卒業研究発表賞

    2011.3   社団法人 電子情報通信学会東海支部  

    渡邊 貴弘

     More details

  • 電気学会東海支部長賞

    2011.3   社団法人 電気学会東海支部  

    渡邊 貴弘

     More details

  • テレコムシステム技術学生賞

    2011.3   財団法人 電気通信普及財団  

    間渕 隆之

     More details

  • 奨励賞

    2011.1   計測自動制御学会 中部支部  

    間渕 隆之

     More details

  • 連合大会奨励賞

    2011.1   電気関係学会東海支部連合大会  

    間渕 隆之

     More details

  • 優秀学生顕彰 優秀賞

    2010.12   日本学生支援機構  

    渡邊 貴弘

     More details

  • 優秀賞

    2010.12   第8回 情報学ワークショップ(WiNF 2010)   ホログラム閾値可変によるMEMSダイナミック光再構成型ゲートアレイの動作効率改善法

    森田 裕宣, 渡邊実

     More details

  • SLDM研究会優秀発表学生賞

    2010.9   社団法人情報処理学会システムLSI設計技術研究会  

    久保田 慎也

     More details

  • Best Paper Award

    2010.6   NASA/ESA Conference on Adaptive Hardware and Systems   Recovery method for a turn-off failure mode of a laser array on an ORGA

    D. Seto, M. Watanabe

     More details

  • 学生研究奨励賞

    2010.6   社団法人電子情報通信学会東海支部  

    森田 裕宣

     More details

  • 学生論文奨励賞

    2010.5   情報処理学会東海支部  

    瀬戸 大作

     More details

  • IEEE学生奨励賞

    2010.1   電気関係学会東海支部連合大会  

    中島 真央

     More details

  • 連合大会奨励賞

    2010.1   電気関係学会東海支部連合大会  

    瀬戸 大作

     More details

  • 奨励賞

    2010.1   計測自動制御学会 中部支部  

    中島 真央

     More details

  • デザインガイアポスタ賞

    2009.12   デザインガイア  

    瀬戸 大作

     More details

  • Best Poster

    2009.11   IEEE International Symposium on Micro-NanoMechatronics and Human Science   Fault tolerance analysis of MEMS holographic memory for DORGAs

    D. Seto, M. Watanabe

     More details

  • 学生研究奨励賞

    2009.6   社団法人電子情報通信学会東海支部  

    中島 真央

     More details

  • IEEE Nagoya Section Excellent Student Award

    2009.3   IEEE Nagoya Section  

    S.Kato

     More details

  • 最優秀卒業研究発表賞

    2009.3   社団法人電子情報通信学会東海支部  

    加藤 進一

     More details

  • Best System Integration Award

    2008.12   IEEE International Symposium on System Integration   Optically Reconfigurable Gate Array

    M. Watanabe, D. Seto, S. Kubota

     More details

  • 第133回SLDM研究会優秀発表学生賞

    2008.8   社団法人情報処理学会システムLSI設計技術研究会  

    瀬戸 大作

     More details

  • 学生研究奨励賞

    2008.6   社団法人電子情報通信学会東海支部  

    瀬戸 大作

     More details

  • IEEE Nagoya Section Excellent Student Award

    2008.3   IEEE Nagoya Section  

    D. Seto

     More details

  • 計測自動制御学会九州支部奨励賞

    2006   計測自動制御学会   ダイナミック光再構成型ゲートアレイ

    深川, 渡邊,小林

     More details

  • 2005ベストセッション講演賞

    2005.12   システムインテグレーション,計測自動制御学会   動的再構成によるシステムの実現

    Minoru Watanabe, Fuminori Kobayashi

     More details

  • 計測自動制御学会九州支部奨励賞

    2005   第24回計測自動制御学会九州支部学術講演会   位相補間によるPLLの特性改善

    井上, 小林,渡邊

     More details

  • 計測自動制御学会九州支部奨励賞

    2005   計測自動制御学会九州支部学術講演会   0.18umプロセスによる差分光再構成型ゲートアレイVLSI

    志岐, 渡邊,小林

     More details

  • Finalist Commendation, The Takeda Techno-Entrepreneurship Award

    2001.12   Takeda Foundation   Development of an optically reconfigurable gate array and implementation of a reconfigurable processor

    M. Watanabe, F. Kobayashi, J. Ohtsubo, T. Otsuji

     More details

▼display all

Research Projects

  • Development of hardware design system for high-speed dynamically reconfigurable devices

    Grant number:23K11032  2023.04 - 2026.03

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research  Grant-in-Aid for Scientific Research (C)

    渡邊 誠也, 渡邊 実

      More details

    Grant amount:\4680000 ( Direct expense: \3600000 、 Indirect expense:\1080000 )

    researchmap

  • 耐放射線プロセッサを用いた組み込みシステムの開発

    2022.11 - 2025.03

    日本原子力研究開発機構  英知を結集した原子力科学技術・人材育成推進事業 国際協力型廃炉研究プログラム(日英原子力共同研究)6000万円(予定) 

      More details

    Authorship:Principal investigator 

    researchmap

  • -

    Grant number:22K18415  2022.06 - 2025.03

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research Grant-in-Aid for Challenging Research (Pioneering)  Grant-in-Aid for Challenging Research (Pioneering)

    渡邊 実

      More details

    Grant amount:\25870000 ( Direct expense: \19900000 、 Indirect expense:\5970000 )

    researchmap

  • VLSIの高エネルギー中性子への耐用評価

    2022.04 - 2023.03

    核融合科学研究所  一般共同研究 

      More details

    Authorship:Principal investigator 

    researchmap

  • Multi-context scrubbing for radiation-hardened optoelectronic devices with 1 Grad TID tolerance

    Grant number:21H03407  2021.04 - 2024.03

    Japan Society for the Promotion of Science  Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (B)  Grant-in-Aid for Scientific Research (B)

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\17030000 ( Direct expense: \13100000 、 Indirect expense:\3930000 )

    光再構成を活用してゲートアレイの故障個所を特定する機能の研究を実施した。故障個所を特定する機能は最後まで壊れることが許されないので冗長化実装を研究した。冗長化については3重化実装と、5重回路実装について検討をした。多数決を行うセルは3重化実装も、5重化実装も両セルともカスタム設計し、最適化実装を行った。そして、これらのセルを利用して故障個所を特定する機能を実装した。3重回路実装に比べて、5重回路実装ではより強い耐性を実現することができるが、5重回路実装の専有面積が大きくなりすぎることから、最終的には故障個所を特定する機能に対しては3重回路実装を適用することにした。そして、故障個所を特定する機能を実装した耐放射線光再構成型ゲートアレイVLSIの設計を完了した。また、マルチコンテキストスクラビング向けの光学系テストベンチの設計と試作を完了させた。ホログラムメモリの計算に必要となるソフトウエアの設計を終え、ホログラムメモリの試作も実施し、マルチコンテキストスクラビング向けのホログラムメモリの製作が正しく行えることまで確認を終えた。今年度のマルチコンテキストスクラビングの実装評価は設計した光再構成型ゲートアレイをベースにシミュレーションにより実施した。恒久故障を疑似的にゲートアレイ上に起こし、それでも順序回路を含むマルチコンテキストスクラビングが正常に動作することを確認した。次年度以降は光再構成型ゲートアレイVLSIを試作し、実試験にて評価を進めていく予定である。

    researchmap

  • 核融合炉プラズマ診断用VLSIの高エネルギー中性子への耐用評価

    Grant number:NIFS21KLPA002  2021.04 - 2022.03

    核融合科学研究所  一般共同研究 

    渡邊 実

      More details

    Authorship:Principal investigator 

    Grant amount:\130000 ( Direct expense: \130000 )

    researchmap

  • 再臨界前の中性子線増に即応可能な耐放射線FPGAシステムの開発 International coauthorship

    2019.11 - 2021.03

    日本原子力研究開発機構  英知を結集した原子力科学技術・人材育成推進事業 国際協力型廃炉研究プログラム(日英原子力共同研究) (2000万円/2019年度、2000万円/2020年度、2000万円/2021年度) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\60000000 ( Direct expense: \46153846 、 Indirect expense:\13846153 )

    researchmap

  • 耐放射線動的再構成型プロセッサの研究開発

    2019.05 - 2021.03

    中部電力 原子力安全技術研究所  原子力に係る公募研究 (1100万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\1100000 ( Direct expense: \10000000 、 Indirect expense:\1000000 )

    researchmap

  • 耐放射線光電子融合デバイスへのマルチコンテキストスクラビングの実装

    2019.04 - 2021.03

    総務省  戦略的情報通信研究開発推進制度(SCOPE) (303万2130円(間接経費69万9722円含む)/2019年度,1300万円/2020年度、1300万円/2021年度) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\3032130 ( Direct expense: \2332408 、 Indirect expense:\699722 )

    researchmap

  • 核融合炉向け耐放射線組み込みシステムの研究

    2019.04 - 2020.03

    自然科学研究機構 核融合科学研究所  一般共同研究 (18万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\180000 ( Direct expense: \180000 )

    researchmap

  • 廃炉作業ロボット向け耐放射線組み込みシステムの開発

    2016.04 - 2019.03

    文部科学省  英知を結集した原子力科学技術・人材育成推進事業 廃炉加速化研究プログラム(国内研究)(1955万8777円/2016年度、1909万5651円/2017年度、1969万4695円/2018年度) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\58349123 ( Direct expense: \44883940 、 Indirect expense:\13465183 )

    researchmap

  • 宇宙機器向けシールドレス耐放射線プログラマブルデバイスの研究開発

    2015.04 - 2018.03

    日本学術振興会  基盤研究(B) (1807万円(間接経費417万円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\18070000 ( Direct expense: \13900000 、 Indirect expense:\4170000 )

    researchmap

  • 耐放射線FPGA(Field Programmable Gate Array)の研究開発

    2014.05 - 2016.03

    中部電力 原子力安全技術研究所  原子力に係る公募研究 (1000万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\10000000 ( Direct expense: \10000000 )

    researchmap

  • 動的再構成型ビジョンVLSIの研究開発

    2014.05 - 2015.03

    静岡大学電子工学研究所  共同研究プロジェクト (28万5000円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • MEMS、ホログラムメモリを用いた光電子プロセッサ技術の研究

    2013.11 - 2014.11

    浜松科学技術研究振興会  科学技術試験研究助成 (40万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 動的再構成型ビジョンチップの研究開発

    2013.07 - 2014.03

    静岡大学電子工学研究所  共同研究プロジェクト (67万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 回折限界を打破する偏光ホログラムメモリシステムの研究開発

    2013.04 - 2016.03

    日本学術振興会  挑戦的萌芽研究 (390万円(間接経費90万円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\3900000 ( Direct expense: \3000000 、 Indirect expense:\900000 )

    researchmap

  • 動的再構成型ビジョンVLSI

    2012.05 - 2013.03

    静岡大学電子工学研究所  共同研究プロジェクト (85万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 3次元光電子機械プログラマブルデバイスの開発と動的回路実装技術の確立

    2012.04 - 2015.03

    日本学術振興会  基盤研究(B) (1794万円(間接経費414万円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    Grant amount:\17940000 ( Direct expense: \13800000 、 Indirect expense:\4140000 )

    researchmap

  • ディペンダブル光FPGAの研究開発

    2012.04 - 2013.03

    総務省  戦略的情報通信研究開発推進制度(SCOPE) 【ICTイノベーション創出型研究開発】 (1863万6800円(間接経費430万800円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 高放射線環境下向け光電子組み込みシステムの開発

    2012.04 - 2013.03

    大川情報通信基金  (100万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 動的再構成型ビジョンVLSI

    2011.05 - 2012.03

    静岡大学電子工学研究所  共同研究プロジェクト (100万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • MEMS・ホログラムを用いたリアルタイム視覚情報処理システム

    2011.04 - 2013.03

    日本学術振興会  挑戦的萌芽研究 (377万円(間接経費87万円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • ホログラムストレージデバイス向けMEMS・レーザアレイ・アドレッシング技術

    2011.04 - 2012.03

    JST  大学特許価値向上支援 (350万円(間接経費73万7000円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 動的再構成型ビジョンチップの研究開発

    2010.05 - 2011.03

    静岡大学電子工学研究所  共同研究プロジェクト (75万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • プログラマブル・光再構成型ゲートアレイとライターの研究開発

    2008.04 - 2011.03

    日本学術振興会  基盤研究(C) ( 455万円(間接経費105万円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • フーリエ補間による省回路サンプルレート変換器

    2008.04 - 2011.03

    日本学術振興会  基盤研究(C) (総額:377万円(間接経費87万円含む)、分担額:65万円(間接経費15万円含む)) 

    小林 史典

      More details

    Grant type:Competitive

    researchmap

  • MEMS・レーザーアレイによる高速動的光再構成型ゲートアレイの研究開発

    2008.04 - 2011.03

    文部科学省  新学術領域研究(研究課題提案型) (3380万円(間接経費780万円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 高速動的光再構成型プロセッサの研究開発

    2008.04 - 2011.03

    総務省  戦略的情報通信研究開発推進制度(SCOPE) 若手ICT研究者育成型研究開発(B) (1811万4200円(間接経費418万200円含む)) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 自律再構成可能な高信頼性ホログラムVLSIの研究開発

    2008.04 - 2009.03

    熊谷科学技術振興財団  (80万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 3次元光電子融合デバイスのノンアライメント実装技術の研究開発

    2007.10 - 2008.09

    電子回路基板技術振興財団  (100万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 光VLSIにおける配線を利用した光シールド技術の開発

    2006.04 - 2008.03

    日本学術振興会  若手研究(B) (350万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 部分再構成可能な高密度光再構成型ゲートアレイの開発

    2004.04 - 2007.03

    JST  育成研究 (8789万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • ダイナミック差分再構成型ゲートアレイ

    2004.04 - 2006.03

    日本学術振興会  若手研究(B) (370万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 組み込みシステム用再構成可能システム

    2002.04 - 2007.03

    文部科学省  知的クラスタ創成事業 (1億193万円(分担研究への配分額:2781万円)) 

    笹尾 勤

      More details

    Grant type:Competitive

    researchmap

  • 光再構成型ゲートアレイと再構成型プロセッサの実装

    2002.04 - 2003.03

    福岡県産業・科学技術振興財団  地域研究開発促進拠点支援事業 (250万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

  • 光再構成型ゲートアレイ

    2001.04 - 2002.03

    福岡県産業・科学技術振興財団  テーマ探索・シーズ発掘事業研究 (45万円) 

    渡邊 実

      More details

    Authorship:Principal investigator  Grant type:Competitive

    researchmap

▼display all

 

Class subject in charge

  • Compiler (2023academic year) Third semester  - 月7~8,金1~2

  • Compilers (2023academic year) Third semester  - 月7~8,金1~2

  • Compilers (2023academic year) Third semester  - 月7~8,金1~2

  • Computer Architecture I (2023academic year) Third semester  - 月1~2,火7~8

  • Computer Architecture II (2023academic year) Fourth semester  - 水3~4,金5~6

  • Computer Architecture I (2023academic year) Third semester  - 月1~2,火7~8

  • Computer Architecture II (2023academic year) Fourth semester  - 水3~4,金5~6

  • Computer Hardware (2023academic year) Second semester  - 月1~2,木5~6

  • Computer Hardware (2023academic year) Second semester  - 月1~2,木5~6

  • Advanced Processor Engineering (2023academic year) Prophase  - その他

  • Advanced Processor Engineering (2023academic year) Prophase  - その他

  • Engineering English (2023academic year) Late  - その他

  • Engineering English (2023academic year) Late  - その他

  • Advanced Study (2023academic year) Other  - その他

  • Technical Writing 1 (2023academic year) Prophase  - その他

  • Technical Writing 2 (2023academic year) Late  - その他

  • Technical Writing (2023academic year) Prophase  - その他

  • Technical Presentation (2023academic year) Late  - その他

  • Advanced Research in Computer Hardware (2023academic year) Late  - その他

  • Advanced Research in Computer Hardware (2023academic year) Late  - その他

  • Seminar in Computer Engineering (2023academic year) Year-round  - その他

  • Seminar in Computer Engineering (2023academic year) Year-round  - その他

  • Specific Research of Electronics and Information Systems Engineering (2023academic year) Year-round  - その他

  • Compiler (2022academic year) Third semester  - 月5~6,金1~2

  • Compilers (2022academic year) Third semester  - 月5~6,金1~2

  • Compilers (2022academic year) Third semester  - 月5~6,金1~2

  • Computer Architecture I (2022academic year) Third semester  - 火7~8,木5~6

  • Computer Architecture II (2022academic year) Fourth semester  - 月3~4,水3~4

  • Computer Architecture II (2022academic year) Fourth semester  - 月3~4,水3~4

  • Computer Architecture I (2022academic year) Third semester  - 火7~8,木5~6

  • Computer Architecture II (2022academic year) Fourth semester  - 月3~4,水3~4

  • Computer Hardware (2022academic year) Second semester  - 月1~2,木5~6

  • Computer Hardware (2022academic year) Second semester  - 月1~2,木5~6

  • Advanced Processor Engineering (2022academic year) Prophase  - 火5~6,木3~4

  • Engineering English (2022academic year) Late  - その他

  • Technical Writing (2022academic year) Prophase  - その他

  • Technical Presentation (2022academic year) Late  - その他

  • Advanced Research in Computer Hardware (2022academic year) Late  - その他

  • Seminar in Computer Engineering (2022academic year) Year-round  - その他

  • Specific Research of Electronics and Information Systems Engineering (2022academic year) Year-round  - その他

  • Compiler (2021academic year) Third semester  - 月5,月6,金1,金2

  • Compilers (2021academic year) Third semester  - 月5,月6,金1,金2

  • Compilers (2021academic year) Third semester  - 月5,月6,金1,金2

  • Computer Architecture I (2021academic year) Third semester  - 月3,月4,木3,木4

  • Computer Architecture II (2021academic year) Fourth semester  - 月3,月4,水3,水4

  • Computer Architecture II (2021academic year) Fourth semester  - 月3,月4,水3,水4

  • Computer Architecture II (2021academic year) Fourth semester  - 月3,月4,水3,水4

  • Computer Architecture II (2021academic year) Fourth semester  - 月3,月4,水3,水4

  • Computer Architecture I (2021academic year) Third semester  - 月3,月4,木3,木4

  • Computer Hardware (2021academic year) Second semester  - 月5,月6,木5,木6

  • Advanced Processor Engineering (2021academic year) Prophase  - 火5~6,木3~4

  • Engineering English (2021academic year) Late  - その他

  • Technical Writing (2021academic year) Prophase  - その他

  • Technical Presentation (2021academic year) Late  - その他

  • Advanced Research in Computer Hardware (2021academic year) Late  - その他

  • Seminar in Computer Engineering (2021academic year) Year-round  - その他

  • Specific Research of Electronics and Information Systems Engineering (2021academic year) Year-round  - その他

▼display all