2024/02/13 更新

写真a

ワタナベ ミノル
渡邊 実
Minoru Watanabe
所属
環境生命自然科学学域 教授
職名
教授
外部リンク

学位

  • 博士(情報工学) ( 2005年9月   九州工業大学 )

研究分野

  • 情報通信 / 計算機システム  / リコンフィギャラブルシステム、FPGA,光再構成型ゲートアレイ

学歴

  • 静岡大学    

    - 1994年

      詳細を見る

    国名: 日本国

    researchmap

  • 静岡大学   Faculty of Engineering  

    - 1992年

      詳細を見る

    国名: 日本国

    researchmap

経歴

  • 岡山大学 環境生命自然科学学域   工学部 情報・電気・数理データサイエンス 情報工学コース,工学部 情報系学科,大学院 環境生命自然科学研究科   教授

    2023年4月 - 現在

      詳細を見る

  • 岡山大学   工学部 情報・電気・数理データサイエンス系 情報工学コース, 工学部 情報系学科   教授

    2021年4月 - 2023年3月

      詳細を見る

  • 静岡大学   創造科学技術大学院 ナノビジョンサイエンス部門   准教授

    2012年4月 - 2021年3月

      詳細を見る

  • 静岡大学   工学部 電気電子工学科   准教授

    2007年4月 - 2021年3月

      詳細を見る

  • 九州工業大学   情報工学部 システム創成情報工学科   講師(学内)

    2005年4月 - 2007年3月

      詳細を見る

  • 九州工業大学   情報工学部 システム創成情報工学科   助手

    2004年4月 - 2005年3月

      詳細を見る

  • 九州工業大学   情報工学部 制御システム工学科   助手

    2000年1月 - 2004年3月

      詳細を見る

  • 日産自動車株式会社

    1994年4月 - 1999年12月

      詳細を見る

▼全件表示

所属学協会

▼全件表示

委員歴

  • International Conference on Field-Programmable Technology   Program committee  

    2023年6月 - 2023年9月   

      詳細を見る

    団体区分:学協会

    researchmap

  • リコンフィギャラブルシステム研究専門委員会   専門委員  

    2023年4月 - 2024年3月   

      詳細を見る

    団体区分:学協会

    researchmap

  • ISoIRS 2023   Program Committee  

    2023年4月 - 2023年11月   

      詳細を見る

  • MWSCAS   Program Committee  

    2023年4月 - 2023年5月   

      詳細を見る

    団体区分:学協会

    researchmap

  • International Conference on Consumer Electronics   Design Competitioin Chair  

    2023年2月 - 2024年1月   

      詳細を見る

    団体区分:学協会

    researchmap

  • International Conference on Consumer Electronics   Special Session Chair  

    2023年2月 - 2024年1月   

      詳細を見る

    団体区分:学協会

    researchmap

  • リコンフィギャラブルシステム研究専門委員会   専門委員  

    2022年4月 - 2023年3月   

      詳細を見る

    団体区分:学協会

    researchmap

  • リコンフィギャラブルシステム研究会 FPGAデザインコンテスト   実行委員長  

    2022年4月 - 2022年10月   

      詳細を見る

    団体区分:学協会

    researchmap

  • International Conference on Field-Programmable Technology   Design Competitioin Chair  

    2021年12月 - 2022年12月   

      詳細を見る

    団体区分:学協会

    researchmap

  • リコンフィギャラブルシステム研究専門委員会   専門委員  

    2021年4月 - 2022年3月   

      詳細を見る

    団体区分:学協会

    researchmap

  • International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   Program Committee  

    2021年4月 - 2021年6月   

      詳細を見る

    団体区分:学協会

    researchmap

  • リコンフィギャラブルシステム研究会   FPGAデザインコンテスト 実行委員長  

    2021年3月 - 2021年10月   

      詳細を見る

    団体区分:学協会

    researchmap

  • International Conference on Field-Programmable Technology   Design Competitioin Chair  

    2020年12月 - 2021年12月   

      詳細を見る

    団体区分:学協会

    researchmap

  • 電子情報通信学会 東海支部   学生委員  

    2020年4月 - 2021年3月   

      詳細を見る

    団体区分:学協会

    researchmap

▼全件表示

 

論文

  • Parallel Configuration Experiment for a Radiation-Hardened Optically Reconfigurable Gate Array with a Holographic Polymer-Dispersed Liquid Crystal Memory 査読

    Sae Goto, Minoru Watanabe, Akifumi Ogiwara, Nobuya Watanabe

    International Conference on Consumer Electronics   2024年1月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Holographic Memory Formed by Different Laser Wavelengths in Laser Combiner System for Optically Reconfigurable Gate Array 査読

    Akifumi Ogiwara, Minoru Watanabe

    IEEE International Conference on Consumer Electronics   2024年1月

     詳細を見る

    担当区分:最終著者, 責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Application Design System for High-Speed Dynamically Reconfigurable Gate Arrays 査読

    Nobuya Watanabe, Ryoya Ishitani, Minoru Watanabe

    2024年1月

     詳細を見る

    担当区分:最終著者, 責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation-hardened stabilized power supply unit based on bipolar transistors 査読

    Takato Tanizawa, Minoru Watanabe

    International Conference on Microelectronics   2023年12月

     詳細を見る

    担当区分:最終著者, 責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optical multi-context scrubbing operation on a redundant system 査読 国際誌

    Kakeru Ando, Minoru Watanabe, Nobuya Watanabe

    Optics Express   31 ( 23 )   38529 - 38529   2023年10月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(学術雑誌)   出版者・発行元:Optica Publishing Group  

    This paper presents a proposal of the world-first optical multi-context scrubbing operation on a redundant system that can maintain the state of a sequential circuit and the operation continuously without any interruption on a radiation-hardened optically reconfigurable gate array even after a permanent failure suddenly happens on the sequential circuit or a flip-flop by radiation. Up to now, a high-speed optical scrubbing operation has been demonstrated on a radiation-hardened optically reconfigurable gate array. In addition, a multi-context scrubbing operation based on the high-speed optical scrubbing operation has already been demonstrated. Although the multi-context scrubbing operation presents the benefit that it can treat both soft-errors and permanent failures caused by radiation simultaneously, the conventional contributions have never presented how to maintain the state of a sequential circuit after a permanent failure occurs on flip-flops. Therefore, in the conventional multi-context scrubbing operation, all the operations must be restarted from the initial condition each time a permanent failure occurs on a programmable gate array. As a result, conventional multi-context scrubbing operations could not be applied for real-time systems. The proposed optical multi-context scrubbing method that can solve the issue has been experimentally evaluated on a radiation-hardened optically reconfigurable gate array.

    DOI: 10.1364/oe.500666

    researchmap

  • An optically reconfigurable gate array VLSI driven by an unstabilized power supply unit 査読

    Masashi Tsujino, Minoru Watanabe, Nobuya Watanabe

    2023 IEEE 36th International System-on-Chip Conference (SOCC)   2023年9月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/socc58585.2023.10257130

    researchmap

  • Construction of Laser Interferometer Consisting of Different Wavelengths Using Laser Combiner System for Fabrication of Holographic Memory for Optically Reconfigurable Gate Array 査読

    Akifumi Ogiwara, Minoru Watanabe

    Microoptics Conference (MOC)   2023年9月

     詳細を見る

    担当区分:最終著者, 責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Design example of a triple modular redundancy ALU, a register file, and a program counter for a processor

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Evaluation of low-voltage operations of an optically reconfigurable gate array VLSI

    Yuki Shimamura, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Photodiode current range measurement result of an optically reconfigurable gate array VLSI

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • An optically reconfigurable gate array driven by an unstabilized power supply unit

    Masashi Tsujino, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A mono instruction set computer architecture on an optically reconfigurable gate array VLSI

    Soma Imai, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Realization of a wafer-scale VLSI by using optically reconfigurable gate array architecture

    Atsushi Takata, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Sequential circuit implementation onto optically reconfigurable gate array VLSI using a ring oscillator

    Shintaro Takatsuki, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Remote monitoring system used in a severe radiation environment

    Utsuki Sekioka, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Multi-context-scrubbing operation for a 1-bit counter circuit 査読

    Kakeru Ando, Minoru Watanabe, Nobuya Watanabe

    2023 21st IEEE Interregional NEWCAS Conference (NEWCAS)   1 - 6   2023年6月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/newcas57931.2023.10198189

    researchmap

  • Design example of a triple modular redundancy ALU and register-file for RISC-V processors

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    RISC-V Days Tokyo 2023 Summer conference   2023年6月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation-hardened triple-modular redundant field programmable gate array with a two-phase clock 査読

    Minoru Watanabe

    2023 IEEE International Symposium on Circuits and Systems (ISCAS)   1 - 6   2023年5月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/iscas46773.2023.10181472

    researchmap

  • Multi-context optically reconfigurable gate array system used for fast-neutron experiments 査読

    Sae Goto, Kakeru Ando, Kaho Yamada, Minoru Watanabe, Nobuya Watanabe, Makoto Kobayashi, Mitsutaka Isobe, Kunihiro Ogawa, Shingo Tamaki, Isao Murata, Sachie Kusaka

    16TH IEEE DALLAS CIRCUITS AND SYSTEMS CONFERENCE   - ( - )   1 - 1   2023年4月

     詳細を見る

    担当区分:責任著者  

    researchmap

  • Total-Ionizing-Dose Tolerance Analysis of a Radiation-Hardened Image Sensor 査読

    Daisuke Bamba, Minoru Watanabe, Nobuya Watanabe

    2023 IEEE International Conference on Consumer Electronics (ICCE)   - ( - )   1 - 2   2023年1月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/icce56470.2023.10043521

    researchmap

  • Optically reconfigurable gate array VLSI that can support a perfect parallel configuration 査読

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    18th IEEE Asia Pacific Conference on Circuits and Systems   2022年11月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/APCCAS55924.2022.10090314

    researchmap

  • Cf252 neutron soft error tolerance of an optoelectronic field programmable gate array VLSI 査読

    Minoru Watanabe

    IEEE International Integrated Reliability Workshop   2022年10月

     詳細を見る

    担当区分:筆頭著者, 責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Total Dose Tolerance Analysis of an Optically Reconfigurable Gate Array VLSI 査読

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    IEEE International Conference on Electronics Circuits and Systems   2022年10月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICECS202256217.2022.9970905

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    The sixth International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Station   2022年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optically reconfigurable gate array VLSI without any common signal

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The sixth International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Station   2022年8月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation-hardened optically reconfigurable gate array 招待

    Minoru Watanabe

    Global Summit and Expo on Nanotechnology and Nanomaterials (GSENN)   2022年6月

     詳細を見る

    担当区分:筆頭著者, 責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.7567/JJAP.54.09MA06

    researchmap

  • Convolutional neural network implementations using Vitis AI 査読

    Akihiko Ushiroyama, Minoru Watanabe, Nobuya Watanabe, Akira Nagoya

    IEEE 12th Annual Computing and Communication Workshop and Conference (CCWC)   365 - 371   2022年1月

     詳細を見る

    担当区分:責任著者   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/CCWC54503.2022.9720794

    researchmap

  • Total-ionizing-dose tolerance evaluation of an optoelectronic field programmable gate array VLSI during operation 査読

    Hiroshi Ito, Minoru Watanabe

    International Conference on Field-Programmable Technology   2021年12月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICFPT52863.2021.9609910

    researchmap

  • Analysis of optical properties and internal structures of γ-ray-irradiated holographic devices formed using liquid crystal composites 査読 国際誌

    Akifumi Ogiwara, Minoru Watanabe

    Optical Materials   123   111932 - 111932   2021年12月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1016/j.optmat.2021.111932

    researchmap

  • Radiation Hardened Optically Reconfigurable Gate Array 招待 査読

    Minoru Watanabe

    OPTICSMEET2021   2021年11月

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.7567/JJAP.54.09MA06

    researchmap

  • Optically reconfigurable gate array with a 1 Grad total-ionizing-dose tolerant holographic memory 査読

    Junya Ishido, Minoru Watanabe, Akifumi Ogiwara

    IEEE Photonics Conference (IPC)   2021年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ipc48725.2021.9592957

    researchmap

  • Holographic gratings formed by wavelength multiplexing in liquid crystal composites 査読

    Akifumi Ogiwara, Minoru Watanabe

    26th Microoptics Conference (MOC)   2021年9月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.23919/moc52031.2021.9598095

    researchmap

  • Sequential Circuit Implementation Method for Multi-Context Scrubbing Operations on FPGAs 査読

    Kurea Murakami, Minoru Watanabe

    IEEE International Symposium on Circuits and Systems (ISCAS)   2021年5月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/iscas51556.2021.9401291

    researchmap

  • Effects of a radiation dose in gamma-ray irradiation fields on holographic gratings formed by liquid crystal composites 査読 国際誌

    Akifumi Ogiwara, Makishi Toda, Junya Ishido, Minoru Watanabe, Hiroshi Kakiuchida

    OSA Continuum   4 ( 2 )   514 - 514   2021年2月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/osac.415702

    researchmap

  • Optical Multi-Context Blind Scrubbing for Field Programmable Gate Arrays 査読 国際誌

    Yusuke Takaki, Minoru Watanabe

    IEEE Photonics Journal   12 ( 6 )   1 - 11   2020年12月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/jphot.2020.3038900

    researchmap

  • Radiation-hardened configuration-context realization for field programmable gate arrays 査読

    H. Shinba, M. Watanabe

    Applied Optics   59 ( 19 )   5680 - 5686   2020年6月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/AO.396525

    researchmap

  • Multi-context holographic memory exploiting a wavelength-dependent optimization technique 招待 査読

    Junya Ishido, Minoru Watanabe

    IEEE International Conference on Photonics   2020年5月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICP46580.2020.9206463

    researchmap

  • Implementation of RISC-V Processor and MAX-10 FPGA

    Md Roman Ahmed, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University   2020年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Place and route tool for optically reconfigurable gate arrays with fault cells

    Yuki Takena, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University   2020年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation tolerance of a crystal oscillator circuit

    Yuichi Moriya, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University   2020年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation-hardened optically reconfigurable gate array using a multi-wavelength holographic memory 査読

    Junya Ishido, Minoru Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects   2020年2月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Full-hardware triple modular and penta-modular redundancies using a high frequency majority voting operation 査読

    Masaki Watanabe, Minoru Watanabe

    IEEE Asia Pacific Conference on Circuits and Systems   2019年11月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/APCCAS47518.2019.8953122

    researchmap

  • Effect of radiation dose of Gamma-Ray irradiation on volume gratings using liquid crystal composites 査読

    Makishi Toda, Akifumi, Ogiwara, Minoru Watanabe

    Microoptics Conference   238 - 239   2019年11月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.23919/MOC46630.2019.8982829

    researchmap

  • Parallel-operation-oriented optically reconfigurable gate array VLSI with four gate array layers 査読

    Hirotoshi Ito, Minoru Watanabe

    IEEE International Conference on Space Optical Systems and Applications   2019年10月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • FPGA implementation of a robot control algorithm 査読

    Yusuke Takaki, Kohei Nagasu, Shin Abiko, Minoru Watanabe, Kentaro Sano

    International Conference on Emerging Technologies and Factory Automation   2019年9月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation-degradation Analysis and a Circuit Performance Improvement Method for Optoelectronic Field Programmable Gate Array 査読

    Hirotoshi Ito, Minoru Watanabe

    IEEE International System-on-Chip Conference   2019年9月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optically Reconfigurable Gate Array with a triple modular redundancy 査読

    Toru Yoshinaga, Minoru Watanabe

    International Conference on Space Science and Communication   2019年7月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A 1.15 Grad total-ionizing-dose tolerance parallel-operation-oriented optically reconfigurable gate array VLSI 査読

    Takumi Fujimori, Minoru Watanabe

    IEEE International Workshop on Metrology for AeroSpace   2019年6月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • An optically reconfigurable gate array workable under a strong gamma radiation environment 査読

    Shinya Fujisaki, Takumi Fujimori, Minoru Watanabe

    IEEE- Workshop on Microelectronics and Electron Devices   2019年4月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/WMED.2019.8714154

    researchmap

  • 1 Grad radiation-hardened optoelectronic embedded system

    M. Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects   2019年3月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • FPGAによる自動車の自動走行コンテスト 招待

    渡邊 実

    情報・システムソサイエティ誌   24 ( 3 )   2019年

     詳細を見る

    担当区分:筆頭著者, 責任著者   記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)  

    researchmap

  • Soft-error tolerance of an optically reconfigurable gate array VLSI 査読

    T. Fujimori, M. Watanabe

    INTERNATIONAL CONFERENCE ON SYSTEMS ENGINEERING   1 - 6   2018年12月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1109/ICSENG.2018.8638203

    researchmap

  • Radiation-hardened motor controller

    T. Hatamochi, M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Many modular redundancy implementation on CPLD

    Masaki Watanabe, Minoru Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Full-hardware robot controller

    Y. Takaki, M. Watanabe, K. Sano

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation-hardened power supply unit

    Shinya Fujisaki, Minoru Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”   2018年11月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation-hardened optically reconfigurable gate array 査読

    M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”   2018年11月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • An optically reconfigurable gate array using four liquid crystal spatial light modulators 査読

    Y. Takaki, M. Watanabe

    IEEE CPMT Symposium Japan   185 - 188   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICSJ.2018.8602907

    researchmap

  • Triple modular redundancy optically reconfigurable gate array

    T. Yoshinaga, M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”   2018年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optically reconfigurable gate array using a colored configuration, 査読

    T. Fujimori, M. Watanabe

    Applied Optics   57 ( 29 )   8625 - 8631   2018年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    DOI: 10.1364/AO.57.008625

    researchmap

  • Total-Ionizing-Dose Tolerance of the configuration function of MAX3000A CPLDs 査読

    T. Fujimori, M. Watanabe

    Data Workshop   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    researchmap

  • Radiation-hardened and stabilized power supply unit based on a lithiumion battery 査読

    S. Fujisaki, M. Watanabe

    Radiation and its Effects on Components and Systems conference   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Effects of radiation exposure on volume gratings formed in liquid crystal composites 査読

    A Ogiwara, M. Toda, M. Watanabe, H. Kakiuchida

    2018 KJF International Conference on Organic Materials for Electronics and Photonics   2018年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Ultrasonic sensor system with a 94 Mrad total-ionizing-dose tolerance 査読

    S. Fujisaki, M. Watanabe

    IEEE International Conference on Semiconductor Electronics   263 - 266   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/SMELEC.2018.8481328

    researchmap

  • A 400 Mrad radiation-hardened optoelectronic embedded system with a silver-halide holographic memory 査読

    T. Fujimori, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems   2018年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/AHS.2018.8541375

    researchmap

  • Tolerance of radiation exposure on volume gratings using liquid crystal composites

    M.Toda, A.Ogiwara, M.Watanabe

    27th International Liquid Crystal Conference (ILCC2018)   P4-C2-47   2018年7月

     詳細を見る

  • A 603 Mrad total-ionizing-dose tolerance optically reconfigurable gate array VLSI 査読

    T. Fujimori, M. Watanabe

    International Conference on Signals and Systems   249 - 254   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICSIGSYS.2018.8372766

    researchmap

  • High total-ionizing-dose tolerance field programmable gate array 査読

    T. Fujimori, M. Watanabe

    IEEE International Symposium on Circuits and Systems   1 - 4   2018年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ISCAS.2018.8351543

    researchmap

  • An 807 Mrad total dose tolerance of an optically reconfigurable gate array VLSI

    IEEE Workshop on Silicon Errors in Logic – System Effects   2018年4月

     詳細を見る

    記述言語:英語  

    researchmap

  • FFT implementation using mono-instruction set computer (MISC) architecture 査読

    H. Shinba, M. Watanabe

    Second Workshop on Pioneering Processor Paradigms   2018年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Resistance evaluation of holographic polymer-dispersed liquid crystal memory for gamma-ray irradiation 査読

    Akifumi Ogiwara, Minoru Watanabe, Yoshizumi Ito

    22nd Microoptics Conference, MOC 2017   2017-   200 - 201   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    The radiation-hardened characteristics of holographic polymer-dispersed liquid crystal (HPDLC) memory are investigated for the applications requiring high reliability using an optically reconfigurable gate array. The optical properties and internal grating structures are investigated by using a cobalt 60 gamma radiation source to examine the resistance of 100 Mrad total-ionizing-dose.

    DOI: 10.23919/MOC.2017.8244556

    Scopus

    researchmap

  • Parallel light configuration that increases the radiation tolerance of integrated circuits 査読

    Takumi Fujimori, Minoru Watanabe

    OPTICS EXPRESS   25 ( 23 )   28136 - 28145   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Total ionizing dose tolerances of current integrated circuits are limited to 3-10 kGy because semiconductor devices are fundamentally vulnerable to radiation. However, using programmable architecture, the total ionizing dose tolerances of integrated circuits can be increased if the integrated circuits can be repaired each time a permanent failure occurs. Nevertheless, current programmable devices cannot allow such repairable use because their serial programming functions fail immediately, even if only a few transistors on the devices are damaged. To increase the radiation tolerance of integrated circuits, this paper presents a proposal of a new optoelectronic programmable device with a parallel light configuration architecture instead of current field programmable gate arrays which have a serial configuration architecture. This demonstration confirms 1.9 MGy radiation tolerance on an optoelectronic programmable device using a non-radiation-hardened standard complementary metal oxide semiconductor process. (C) 2017 Optical Society of America

    DOI: 10.1364/OE.25.028136

    Web of Science

    researchmap

  • Small Area Implementation for Optically Reconfigurable Gate Array VLSI: FFT Case 査読

    Ili Shairah Abdul Halim, Fuminori Kobayashi, Minoru Watanabe, Koichiro Mashiko, Ooi Chia Yee

    JOURNAL OF SCIENTIFIC & INDUSTRIAL RESEARCH   76 ( 11 )   697 - 700   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:NATL INST SCIENCE COMMUNICATION-NISCAIR  

    Optically reconfigurable gate array (ORGA) is a type of multi-context field programmable gate array (FPGA) that has achieved a nanosecond-order reconfiguration capability as well as attaining numerous reconfiguration contexts. Its high-speed dynamic reconfiguration capability is suitable for dynamically changing the function of multi-core processor. ORGA system has high dependability in a radiation rich environment and the development is progressing towards better radiation tolerance. In this paper, size minimization of the ORGA-VLSI is the main concern to maintain its high dependability; hence wire complexity needs special consideration during floor planning. A case of Fast Fourier Transform (FFT) is shown to demonstrate the effectiveness of circuit modification by implementing dynamic reconfiguration for area optimization. Results show that, compared with a normal FFT configuration, the minimum wirelength is reduced 5.5% for a 32-point FFT implementation.

    Web of Science

    researchmap

  • FPGA hardware accelerator for holographic memory calculations for optically reconfigurable gate arrays 査読

    Yoshizumi Ito, Minora Watanabe

    2017 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2017   146 - 149   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Radiation-hardened optically reconfigurable gate arrays have been developed for use in space embedded systems. An optically reconfigurable gate array consists of a holographic memory, a laser array, and an optically reconfigurable gate array VLSI, which is one type of radiation-hardened SRAM-based field programmable gate array (FPGA). However, optically reconfigurable gate arrays have the important feature of faster scrubbing operations than that of radiation-hardened SRAM-based FPGAs. Therefore, the soft-error-tol er anees of the configuration memories of optically reconfigurable gate arrays become much higher than those of FPGAs. However, the calculation load of a lot of holographic memory patterns on a personal computer is extremely heavy. This paper therefore presents a hardware accelerator using an FPGA. The Vivado-high-level synthesis tool (Xilinx Inc.) has been used for development. Its operation speed was improved drastically from 1,590 ms to 3.12 ms while its power consumption for calculations has been decreased drastically from 55 W to 4.4 W.

    DOI: 10.1109/ICSOS.2017.8357225

    Scopus

    researchmap

  • Optically reconfigurable gate array driven by a lithium-ion battery 査読

    S. Fujisaki, M. Watanabe

    IEEE CPMT Symposium Japan   227 - 230   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ICSJ.2017.8240123

    researchmap

  • Holographic memory calculation FPGA accelerator for optically reconfigurable gate arrays 査読

    Takumi Fujimori, Minoru Watanabe

    Proceedings - 2017 IEEE 15th International Conference on Dependable, Autonomic and Secure Computing, 2017 IEEE 15th International Conference on Pervasive Intelligence and Computing, 2017 IEEE 3rd International Conference on Big Data Intelligence and Computing and 2017 IEEE Cyber Science and Technology Congress, DASC-PICom-DataCom-CyberSciTec 2017   2018-   620 - 625   2017年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, radiation-hardened optically reconfigurable gate arrays have been developed for space applications. An optically reconfigurable gate array comprises a holographic memory, a laser array, and an optically reconfigurable gate array VLSI. Since the optically reconfigurable gate array is a type of multi-context field programmable gate array (FPGA), several configuration contexts must be implemented onto the holographic memory on an optically reconfigurable gate array. However, the holographic memory pattern calculation is a heavy operation in addition to logic synthesis and to place and route operations. This paper therefore presents an FPGA hardware accelerator for hologram memory calculation for optically reconfigurable gate arrays with an FPGA (Cyclone V
    Altera Corp.).Performance evaluation results show that the calculation speed of a hologram memory pattern including 512 bright bits is 16.9 times higher than multi-thread calculation on the CPU (Core i7-4770
    Intel Corp.). Furthermore, the FPGA hardware accelerator power consumption is only 6 W, compared to 95 W of the CPU (Core i7-4770
    Intel Corp.).

    DOI: 10.1109/DASC-PICom-DataCom-CyberSciTec.2017.109

    Scopus

    researchmap

  • Radiation tolerance demonstration of high-speed scrubbing on an optically reconfigurable gate array 査読

    Takumi Fujimori, Minoru Watanabe

    International System on Chip Conference   2017-   91 - 95   2017年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE Computer Society  

    Recently, field programmable gate arrays (FPGAs) are anticipated for use in high-radiation environments such as the Fukushima Daiichi nuclear power plant. According to recent news, regions with 650 Sv/h radiation have been found at the Fukushima Daiichi nuclear power plant. Under such extremely high radiation environments, high-speed scrubbing operations must be used to maintain correct circuit information on the configuration memory of programmable gate arrays. Up to now, optical high-speed scrubbing based on an optically reconfigurable gate array has been proposed. This paper presents a demonstration of the radiation tolerance of the optical high-speed scrubbing based on an optically reconfigurable gate array VLSI by using lasers that emulate strong radiation environments. It has been confirmed that 70-ns period high-speed scrubbing operations on the optically reconfigurable gate array are never disturbed by the emulated radiation.

    DOI: 10.1109/SOCC.2017.8226014

    Scopus

    researchmap

  • Asynchronous optical bus for optical VLSIs 査読

    Takumi Fujimori, Minoru Watanabe

    7th International Conference on Innovative Computing Technology, INTECH 2017   162 - 166   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, optically reconfigurable gate arrays consisting of a holographic memory, a laser array, and an optically reconfigurable gate array VLSI have been under development as high-speed dynamic reconfigurable devices. High-speed dynamic reconfiguration of optically reconfigurable gate arrays can be achieved using a two-dimensional optical bus. If such high-speed reconfiguration were feasible, then its programmable gate array performance could be increased dramatically. This paper presents a demonstration of high-speed asynchronous optical dynamic reconfiguration based on an optically reconfigurable gate array VLSI. The reconfiguration speed of the optically reconfigurable gate array can reach 206.44 Gbit/s.

    DOI: 10.1109/INTECH.2017.8102440

    Scopus

    researchmap

  • Multi-context scrubbing method 査読

    Takumi Fujimori, Minora Watanabe

    Midwest Symposium on Circuits and Systems   2017-   1548 - 1551   2017年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Two salient concerns of current field programmable gate arrays (FPGAs) used for space applications are how to block soft errors that arise on their configuration memories and how to treat permanent failures attributable to total dose effects. To date, those two main concerns have been treated separately, but we present a proposal for multi-context scrubbing to 'kill two birds with one stone' and resolve both issues simultaneously. To decrease the frequency of soft errors arising on the configuration memories of FPGAs, applying scrubbing operations for configuration memories is extremely useful. Since faster scrubbing can increase the radiation tolerances of the configuration memories on FPGAs, optical high-speed scrubbing using optically reconfigurable gate array (ORGA) architecture is introduced. Up to now, major scrubbing operations have invariably used a single configuration context, but since the storage capacities of holographic memories on ORGAs are high, many configuration contexts can be stored on a holographic memory. Thereby, various configuration contexts that avoid permanent failures can be used cyclically for scrubbing operations. Even if a permanent failure occurs on the programmable gate array during scrubbing operations, which exploit numerous configuration contexts, correct operations can be executed.

    DOI: 10.1109/MWSCAS.2017.8053231

    Scopus

    researchmap

  • Development of a radiation-hardened embedded system used for robots decommissioning nuclear reactors 査読

    M. Watanabe

    ACTINIDES2017   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • 500 Mrad total-ionizing-dose tolerance of a holographic memory on an optical FPGA 査読

    Yoshizumi Ito, Minora Watanabe, Akifumi Ogiwara

    2017 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2017   167 - 171   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Currently, radiation-hardened static random access memory (SRAM) based field programmable gate arrays (FPGAS) are used frequently for space applications. Invariably, such SRAM-based FPGAS are used along with an electrically erasable programmable read-only memory (EEPROM). Although the radiation tolerance of data stored on EEPROMs is much higher than that stored on SRAMs, the radiation tolerance of the data on an EEPROM is not perfectly safe because electrons on the floating gates of transistors are also disturbed by strong radiation. If high-energy charged particles are incident to the EEPROM, then the EEPROM data might be destroyed by the radiation. In stark contrast, the radiation tolerances of holographic memories are perfect Recording and reading of holographic memory can be executed optically. They never depend on electrons or holes. Moreover, data stored on holographic memory are read by summations of numerous light waves. The operation can be regarded as a majority voting operation. Therefore, even if half of a holographic memory is removed, the remaining half of the holographic memory can generate recorded data correctly. This paper describes a holographic memory based FPGA or an optically reconfigurable gate array (ORGA). Furthermore, this paper explains that a holographic memory can function correctly up to a 500 Mrad total-ionizing-dose, exhibiting 1,667 times higher radiation tolerance than current radiation-hardened VLSIs and FPGAS.

    DOI: 10.1109/AHS.2017.8046374

    Scopus

    researchmap

  • High-speed scrubbing based on asynchronous optical configuration 査読

    Takumi Fujimori, Minoru Watanabe

    2017 2nd International Conference on Opto-Electronic Information Processing, ICOIP 2017   74 - 78   2017年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, field programmable gate arrays (FPGAs) are anticipated for use in high-radiation environments such as that of the Fukushima Daiichi nuclear power plant. According to recently reported news, an area with 650 Sv/h radiation intensity has been confirmed outside of a containment vessel at the Fukushima Daiichi nuclear power plant. For uses under such extremely high radiation environments, high-speed scrubbing operations must be applied for FPGAs. A high-speed optical scrubbing method that can be used on optically reconfigurable gate arrays has been proposed in recent reports of the literature. Such optically reconfigurable gate arrays using optical high-speed scrubbing can be used in environments with intense radiation. In addition, to increase the optical scrubbing operation speed, this paper demonstrates a high-speed optical scrubbing method with a simpler sequence for use on an optically reconfigurable gate array. The 50 ns period high-speed scrubbing operation could be confirmed on the fabricated optically reconfigurable gate array VLSI.

    DOI: 10.1109/OPTIP.2017.8030702

    Scopus

    researchmap

  • Gate density advantage of parallel-operation-oriented FPGA architecture 査読

    Takumi Fujimori, Minora Watanabe

    Proceedings of the IEEE National Aerospace Electronics Conference, NAECON   2017   155 - 158   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, many studies of field programmable gate array (FPGA) hardware accelerators have been reported, in addition to studies of general-purpose computing on graphics processing units (GPGPUs), Xeon Phi, and so on. Since parallel processing is indispensable for such accelerating applications on FPGAs, implementing numerous parallel processing circuits is important to improve the performance of such FPGA hardware accelerators. When implementing a parallel operation for a conventional FPGA, some waste occurs: the same context is stored on numerous regions of configuration memory. This waste presents a critical issue because FPGAs used as accelerators perform parallel processing exclusively in most cases. This paper therefore proposes a parallel-operation-oriented FPGA exploiting a common configuration context. Herein, we describe the advantages of gate density, propagation delay, and compilation time in parallel-operation-oriented FPGAs.

    DOI: 10.1109/NAECON.2017.8268761

    Scopus

    researchmap

  • Tolerance of holographic polymer-dispersed liquid crystal memory for gamma-ray irradiation 査読

    Akifumi Ogiwara, Minoru Watanabe, Yoshizumi Ito

    APPLIED OPTICS   56 ( 16 )   4854 - 4860   2017年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    The radiation-hardened characteristics of holographic polymer-dispersed liquid crystal (HPDLC) memory are discussed in the application for an optically reconfigurable gate array. The radiation experiments are conducted using a cobalt 60 gamma radiation source to examine the tolerance of a 100 Mrad total ionizing dose for the HPDLC memory. The optical properties are compared in the conditions before and after gamma-ray irradiation for the fabricated HPDLC gratings. The effects of gamma-ray irradiation on the internal grating structure are also investigated by polarization optical microscopy and scanning electron microscopy observations. The HPDLC memory irradiated by a 100 Mrad total ionizing dose demonstrates the implementation of the optical reconfiguration in a gate-array VLSI. (C) 2017 Optical Society of America

    DOI: 10.1364/AO.56.004854

    Web of Science

    researchmap

  • 300 Mrad total-ionizing-dose tolerance of a holographic memory on an optically reconfigurable gate array 査読

    Yoshizumi Ito, Minoru Watanabe, Akifumi Ogiwara

    2017 6th International Symposium on Next Generation Electronics, ISNE 2017   1 - 3   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Currently, radiation-hardened field programmable gate arrays (FPGAs) are sought for embedded systems designed for use in space. However, in terms of soft-error and permanent failure, the radiation tolerances of configuration memories on current FPGAS are not high. Therefore, to remove the soft-error on configuration memories of FPGAS, optically reconfigurable gate arrays with a parallel configuration capability have been proposed. The optically reconfigurable gate array consists of an optically reconfigurable gate array VLSI, a holographic memory, and a laser array. Since the optically reconfigurable gate array allows high-speed scrubbing of its configuration memory, the soft-error factor on configuration memory can be removed from consideration. Moreover, the parallel configuration allows uses of radiation-damaged gate arrays so that the optically reconfig-urable gate array can increase the radiation tolerance. However to support such high-speed scrubbing, its optical part must work correctly even if it receives a large amount of radiation. This paper therefore presents a system in which the holographic memory can function correctly despite exposure up to 300 Mrad total-ionizing-dose, which is 300-times-higher radiation tolerance than those of current VLSIs and FPGAS.

    DOI: 10.1109/ISNE.2017.7968743

    Scopus

    researchmap

  • Error injection analysis for triple modular and penta-modular redundancies 査読

    Ryo Terada, Minoru Watanabe

    2017 6th International Symposium on Next Generation Electronics, ISNE 2017   1 - 4   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, radiation-hardened embedded systems are demanded for robots that must function in high-radiation environments such as those prevailing at the Fukushima Daiichi and Chernobyl nuclear power plants. Recent news reports have described the discovery of a 650 Sv/h radiation region near the containment vessels of the Fukushima Daiichi nuclear power plant. Even under such a dauntingly intense radiation environment, workable robots are required. This paper therefore present a high-soft-error-tolerant 16-bit adder unit based on penta-modular redundancy (SMR) in addition to triple modular redundancy (TMR). The soft-error tolerances of the TMR and SMR were analyzed theoretically. Such TMR and SMR circuits were implemented onto a field programmable gate array (FPGA). Then error-injection experiments for the TMR and SMR were done on the FPGA. Analytical results have revealed that SMR 16-bit adder circuit is useful for heavy radiation environments.

    DOI: 10.1109/ISNE.2017.7968746

    Scopus

    researchmap

  • Motor controller radiation tolerance experiments 査読

    Takumi Hatamochi, Minoru Watanabe

    2017 6th International Symposium on Next Generation Electronics, ISNE 2017   1 - 2   2017年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, radiation-hardened embedded systems are sought for robots working under high-radiation environments such as the Fukushima Daiichi and Chernobyl nuclear power plants. Recent news reports have described a region with 650 Sv/h radiation outside of containment vessels at the Fukushima Daiichi nuclear power plant. Even in such heavy radiation environments, functioning robots are required. This paper therefore presents radiation-hardened motor controllers used for robots. The radiation tolerances of motor controllers were evaluated using irradiation by a 60Co gamma source.

    DOI: 10.1109/ISNE.2017.7968747

    Scopus

    researchmap

  • High-speed scrubbing demonstration using an optically reconfigurable gate array 査読

    Takumi Fujimori, Minoru Watanabe

    OPTICS EXPRESS   25 ( 7 )   7807 - 7817   2017年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    This paper presents a proposal for a high-speed scrubbing method based on an optically reconfigurable gate array (ORGA) architecture. A salient concern for current field programmable gate arrays (FPGAs) used in high-radiation environments is the high frequency of soft-errors occurring on their configuration memories. Even if triple modular redundancy is used for implementations on FPGAs, soft-error tolerance issues on the configuration memories cannot be alleviated. This paper therefore presents a high-speed scrubbing method that is applicable to ORGA architectures, in addition to its experimental demonstration on an ORGA-VLSI. The mean time between soft-errors (MTBF) on the ORGA configuration memory has been analyzed theoretically: the MTBF can be extended to 1.35-1.89 million times longer than those of current FPGAs. (C) 2017 Optical Society of America

    DOI: 10.1364/OE.25.007807

    Web of Science

    researchmap

  • FPGAハードウエア・アクセラレーション向け日の丸高位合成ツール 招待 査読

    渡邊 実, 佐野 健太郎, 高前田 伸也, 三好 健文, 中條 拓伯

    電子情報通信学会論文誌   J100-B ( 1 )   1 - 10   2017年1月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:電子情報通信学会  

    近年,FPGAは家電製品,自動車,そして宇宙システムと幅広い用途に使用されている.しかし,2000年代前半まで,FPGAはASICと比較して性能が低く,試作,テスト,研究用途に用いられただけで,量産品に対してはコストあたりの性能に秀でたASICが多用されてきた.これが変わるのが2000年代後半であり,FPGAは最先端のプロセスが利用できる数少ない集積回路の一つとなり,高性能な製品を生み出す主役の座に躍り出た.その代表的なものの一つにFPGAを利用したハードウェア・アクセラレータがあり,その有効性については,MicrosoftがBing検索に用いるデータセンターに対してFPGAを用いたサーバーを開発したり,Intel社がXeonプロセッサにFPGAを実装する等,もはや疑う余地がなくなったと言える.そして今日,FPGAベンダーは開発に多大な工数を要したハードウェア記述言語(HDL)の代わりに,C++からFPGAへの回路実装が可能な汎用的な高位合成ツールの提供を開始している.このような皆高位合成ツール時代のFPGA開発において,各企業が他社との優位性を確保するためには,これら万人向けに作られた汎用高位合成ツールやベンダーから提供されるHDL開発環境等を活用するだけでなく,汎用ツールの弱点を補完でき,より高性能な製品をより少ない工数で開発できる特定用途向けのツール群が必要になる.本論文では既に広く有効性が認知された汎用的な高位合成ツールではなく,まだ認知度が低いが日本で独自に開発が進められる「日の丸」ツール群を紹介する.

    DOI: 10.14923/transcomj.2016jbi0002

    researchmap

  • Optically reconfigurable gate array platform for Mono-instruction set computer architecture 査読

    Hiroki Shimba, Minoru Watanabe

    2017 IEEE 7th Annual Computing and Communication Workshop and Conference   1 - 4   2017年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    The operating clock frequency of the latest processor has never been increased because of recent process issues. A game change must occur to achieve progress in clock frequencies. Therefore, we propose a mono-instruction set computer (MISC) architecture based on optically reconfigurable gate array architecture. The MISC architecture consists of various single instruction processor cores having only one instruction. Using the MISC architecture, the processor performance can be increased drastically. However, the only requirement is the use of a highspeed dynamically reconfigurable device or an optically reconfigurable gate array (ORGA). As described herein, we present the latest ORGA and discuss benefits of MISC architecture based on the ORGA.

    DOI: 10.1109/CCWC.2017.7868473

    Web of Science

    researchmap

  • A 200 Mrad radiation tolerance of a polymer-dispersed liquid crystal holographic memory 査読

    Yoshizumi Ito, Minoru Watanabe, Akifumi Ogiwara

    PROCEEDINGS OF 2016 IEEE 18TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS; IEEE 14TH INTERNATIONAL CONFERENCE ON SMART CITY; IEEE 2ND INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)   1534 - 1535   2016年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Today, although Blu-ray, DVD, CD, hard disk drive, solid state drive, electrically erasable programmable read-only memory (EEPROM) are available, the lifetime of stored data is short. To store large amounts of data longer than 100 years, long-term data storage media are necessary. Moreover, systems designed for use in space require highly radiation-tolerant memory. As long-term data storage media this study demonstrates that a polymer-dispersed liquid crystal holographic memory has a high radiation tolerance by using a cobalt 60 gamma radiation source. Results show that the holographic memory radiation tolerance is more than 667 times higher than EEPROM radiation tolerance.

    DOI: 10.1109/HPCC-SmartCity-DSS.2016.29

    Web of Science

    researchmap

  • A 300 Mrad total-ionizing dose experiment of lasers used for holographic memories 査読

    T. Akabe, M. Watanabe

    International Conference On Advances in Computing, Electronics and Electrical Technology   17 - 20   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Compilation time advantage of parallel-operation-oriented optically reconfigurable gate arrays 査読

    Takumi Fujimori, Minoru Watanabe

    2016 INTERNATIONAL CONFERENCE ON ADVANCED MECHATRONIC SYSTEMS (ICAMECHS)   306 - 311   2016年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, studies have matured of field programmable gate arrays (FPGAs) that realize hardware acceleration. For such hardware acceleration on FPGAs, hugely parallel computation is frequently used. Consequently, numerous identical circuits are implemented onto an FPGA. However, identical configuration contexts of numerous identical circuits are stored on different regions of the configuration memory when a using currently available FPGA, which is exceedingly wasteful. Therefore, we propose a parallel-operation-oriented ORGA with many gate array layers sharing a common configuration context. In programmable devices, the configuration memory and configuration circuit occupy a large implementation area: 70 % - 80 % of the entire VLSI chip area. For that reason, the gate density of the programmable device could be increased if the amount of configuration memory were decreased. Therefore, a parallel-operation-oriented ORGA presents advantages in terms of gate density. Furthermore, the parallel-operation-oriented ORGA architecture presents the important advantage of shorter compilation time. This study clarifies the benefits of the parallel-operation-oriented ORGA in comparison to those of an FPGA having equivalent gate array structure based on the same process technology.

    DOI: 10.1109/ICAMechS.2016.7813465

    Web of Science

    researchmap

  • Photodiode sensitivity measurement methodology using low light intensity for optically reconfigurable gate arrays 査読

    Bharat Ramanathan, Minoru Watanabe

    2016 11TH INTERNATIONAL CONFERENCE ON COMPUTER SCIENCE & EDUCATION (ICCSE)   454 - 457   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    An optically reconfigurable gate array (ORGA), an alternative technology to commercially available field programmable gate arrays (FPGAs), is much faster. Moreover, it can operate in radiation-rich environments. An ORGA uses a laser array, a holographic memory, and an ORGA-VLSI chip including a fine-grained programmable gate array. Many configuration contexts can be stored on the holographic memory and can be addressed by the laser array. The ORGA-VLSI can be programmed optically through numerous photodiodes. To realize faster configuration, measuring the variation of photodiode characteristics is extremely important. Nevertheless, photodiode characteristics have not been estimated to date because measurements must be done using its programmable gate array such that the measurement results include a photodiode response time and propagation delay on the programmable gate array. They cannot be classified clearly. Therefore, this paper proposes a photodiode sensitivity measurement method using low light intensity. Results show that the measurement methodology can remove the propagation delay factor and can extract photodiode sensitivity.

    DOI: 10.1109/ICCSE.2016.7581623

    Web of Science

    researchmap

  • Effects of multi-context information recorded at different regions in holographic polymer-dispersed liquid crystal on optical reconfiguration 査読

    Akifumi Ogiwara, Minoru Watanabe

    JAPANESE JOURNAL OF APPLIED PHYSICS   55 ( 8 )   08RG04-1 - 08RG04-6   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    A holographic polymer-dispersed liquid crystal (HPDLC) memory to record multi-context information for an optically reconfigurable gate array is formed by constructing a laser illumination system to implement successive laser exposures at different small regions in a glass cell filled with LC composites. The context pattern arrangements for circuit information are designed in a 3 x 3 in. 2 photomask by electron beam lithography, and they are recorded as laser interference patterns at nine regions separated in an HPDLC sample by a laser interferometer composed of movable pinhole and photomask plates placed on motorized stages. The multi-context information reconstructed from the different regions in the HPDLC is written to a photodiode array in a gate-array VLSI by switching only the position of laser irradiation using the displacement of the pinhole plate under the control of a personal computer ( PC). The effects of multi-context information recorded at different regions in the HPDLC on optical reconfiguration are discussed in terms of the optical system composed of ORGA VLSI and HPDLC memory. The internal structures in the HPDLC memory formed by multi-context recording are investigated by scanning electron microscopy ( SEM) observation, and the configurations composed of LC and polymer phases are revealed at various regions in the HPDLC memory. (C) 2016 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.55.08RG04

    Web of Science

    researchmap

  • Direct optical communication on an optically reconfigurable gate array 査読

    Shinya Furukawa, Ili Shairah Abdul Halim, Minoru Watanabe, Fuminori Kobayashi

    2016 FIFTH INTERNATIONAL CONFERENCE ON FUTURE COMMUNICATION TECHNOLOGIES (FGCT)   17 - 20   2016年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    As one type of field programmable gate array (FPGA), optically reconfigurable gate arrays (ORGAs) have been undergoing continual development. ORGAs are optoelectronic devices consisting of a holographic memory, a laser array, a programmable gate array. Since the storage capacity of such holographic memory is greater than that of two-dimensional semiconductor memory, an ORGA can accommodate more huge gates and provide higher performance than FPGAs. A programmable gate array of an ORGA has numerous photodiodes that can be reconfigured optically using configuration contexts on a holographic memory. Although the photodiodes are normally used only for a configuration procedure, the photodiodes are useful for direct input of optical communication signals. This paper therefore presents a demonstration of optical communication on ORGA's programmable gate array.

    DOI: 10.1109/FGCT.2016.7605065

    Web of Science

    researchmap

  • Architecture-Independent Negative Logic Implementation for Optically Reconfigurable Gate Arrays 査読

    Takumi Fujimori, Minoru Watanabe

    PROCEEDINGS OF 2016 7TH INTERNATIONAL CONFERENCE ON MECHANICAL AND AEROSPACE ENGINEERING, (ICMAE)   381 - 385   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) comprise a holographic memory, a laser array, and an optically reconfigurable gate array VLSI. According to holographic memory properties, the reconfiguration speed and radiation tolerance of ORGAs depend on the number of bright bits included in a configuration context. This paper therefore proposes a method of reducing the number of bright bits included in a configuration context using negative logic implementation. Since the method is architecture-independent, the method offers the important benefit that it is never necessary to modify the architecture of optically reconfigurable gate array VLSIs. This paper describes experimental demonstrations of the reconfiguration speed and radiation-tolerance advantages of the method.

    DOI: 10.1109/ICMAE.2016.7549570

    Web of Science

    researchmap

  • Demonstrating a Holographic Memory Having 100 Mrad Total-Ionizing-Dose Tolerance 査読

    Yoshizumi Ito, Minoru Watanabe, Akifumi Ogiwara

    PROCEEDINGS OF 2016 7TH INTERNATIONAL CONFERENCE ON MECHANICAL AND AEROSPACE ENGINEERING, (ICMAE)   377 - 380   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Currently, space systems require highly radiation tolerant memory. If memory with high radiation tolerance were available, then shielding of embedded systems for use in space could be reduced or removed, thereby greatly decreasing the weight of such space embedded systems. This study examines the radiation-hardened characteristics of a holographic memory. Using a cobalt 60 gamma radiation source, radiation experiments were conducted for a photopolymer holographic memory. Results show that the holographic memory can function correctly at a 100 Mrad total-ionizing dose. The radiation tolerance of the holographic memory is over 300 times higher than that of an electrically erasable programmable read-only memory (EEPROM). Moreover, this paper shows a demonstration applied for an optically reconfigurable gate array. The configuration procedure could be executed by using the radiation-damaged holographic memory.

    DOI: 10.1109/ICMAE.2016.7549569

    Web of Science

    researchmap

  • Radiation tolerance of a MEMS mirror device 査読

    Takumi Fujimori, Minoru Watanabe

    2016 INTERNATIONAL CONFERENCE ON OPTICAL MEMS AND NANOPHOTONICS (OMN)   1 - 2   2016年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents experimentally obtained results demonstrating the radiation tolerance of a MEMS mirror device. The radiation tolerance was confirmed as 170 Mrad or higher total-ionizing dose tolerance.

    DOI: 10.1109/OMN.2016.7565925

    Web of Science

    researchmap

  • A 180 Mrad Total-Ionizing Dose Experiment for Laser Arrays on Optically Reconfigurable Gate Arrays 査読

    K. Akagi, M. Watanabe

    25th Annual Single Event Effects (SEE) Symposium   2016年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Quality Recovery Method of Interference Patterns Generated From Faulty MEMS Spatial Light Modulators 査読

    Minoru Watanabe

    JOURNAL OF LIGHTWAVE TECHNOLOGY   34 ( 3 )   910 - 917   2016年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    Currently, microelectromechanical system (MEMS) spatial light modulators (SLMs) with numerous tiny mirrors that are controllable as a binary state are available. Such MEMS spatial light modulators are useful as holographic displays, optical tweezers, optical memories, reconfigurable lenses, etc. Although nonfault devices are always used for such applications, this paper clarifies that even if a part of a MEMS-SLM is faulty, the MEMS-SLM is useful for almost all commercial products related to the applications described above. Moreover, it is useful even as research equipment with a high degree of precision. This paper therefore presents the fault-tolerance analysis results of light intensity, contrast ratio, and spot size of interference pattern generated from a MEMS-SLM to clarify the allowable deteriorations of faulty MEMS-SLMs. Moreover, we propose a recovery method that a faulty MEMS-SLM can be used as a nonfaulty MEMS-SLM by exploiting excess laser power.

    DOI: 10.1109/JLT.2015.2483622

    Web of Science

    researchmap

  • Full FPGA Game Machine 査読

    Takumi Fujimori, Minoru Watanabe

    2016 IEEE INTERNATIONAL CONFERENCE ON CONSUMER ELECTRONICS (ICCE)   431 - 432   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Currently, many consumer game machines are based on the operations of processors. However, in the field programmable gate array (FPGA) research field, various FPGA game solvers have been developed recently. The processing speeds of such FPGA game solvers can reach about 1000 times faster than processor-based operations. This paper explains the development of one such FPGA game solvers, the Blokus Duo FPGA solver, and presents discussion of how processors on game machines can be replaced with FPGAs in future consumer game machines.

    DOI: 10.1109/ICCE.2016.7430678

    Web of Science

    researchmap

  • Reconfiguration performance recovery on optically reconfigurable gate arrays 査読

    Tomoya Akabe, Minoru Watanabe

    2016 29TH INTERNATIONAL CONFERENCE ON VLSI DESIGN AND 2016 15TH INTERNATIONAL CONFERENCE ON EMBEDDED SYSTEMS (VLSID)   603 - 604   2016年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, radiation-hardened optoelectronic field programmable gate arrays (FPGAs) or optically reconfigurable gate arrays (ORGAs) have been under development. We propose a method of reconfiguration performance recovery for maintaining the gate array performance of ORGAs. We have experimentally demonstrated the recovery of the ORGA configuration speed merely by exploiting excess laser power.

    DOI: 10.1109/VLSID.2016.67

    Web of Science

    researchmap

  • Formation of holographic polymer dispersed liquid crystal memory by angle-multiplexing recording for optically reconfigurable gate arrays 査読

    Akifumi Ogiwara, Minoru Watanabe

    APPLIED OPTICS   54 ( 36 )   10623 - 10629   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Formation of holographic polymer-dispersed liquid crystal (HPDLC) memory for an optically reconfigurable gate array is discussed for angle-multiplexing recording by controlling the laser interference exposure in LC composites. The successive laser illumination system to record the various configuration contexts at the specified region and angle in HPDLC memory is constructed by using the combination of a half-mirror and a photomask placed on the motorized stages under the control of a personal computer. The effect of laser exposure energy on the formation of holographic memory is investigated by measuring diffraction intensity as a function of exposure energy during the grating formation process and observing the internal grating structure by scanning electron microscopy. The optical reconfiguration in the gate-array VLSI is executed for configuration contexts of OR and NOR operations shown as logical operators that are reconstructed by laser irradiation at different incident angles for a specified region in the HPDLC memory. (C) 2015 Optical Society of America

    DOI: 10.1364/AO.54.010623

    Web of Science

    researchmap

  • Sustainable advantage of a parallel configuration in an optical FPGA 査読

    Minoru Watanabe

    2015 IEEE/SICE INTERNATIONAL SYMPOSIUM ON SYSTEM INTEGRATION (SII)   807 - 810   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, static random access memory (SRAM)based field programmable gate arrays (FPGAs) are used for various systems. Since such SRAM-based FPGAs are programmable, their use over a long period must accommodate the use of a partly damaged programmable gate array. However, since current FPGAs use a serial configuration line for their configuration and the serial configuration circuit is invariably first broken circuit. Therefore, currently available FPGAs cannot accommodate the use of a partly damaged programmable gate array. However, if a partly damaged programmable gate array could be used, then the lifetime of VLSIs would be increased drastically. To extend the lifetime of programmable devices, FPGA should use a parallel configuration architecture instead of the serial configuration architecture of currently available FPGAs. This paper therefore clarifies the benefits of the parallel configuration architecture on an optically reconfigurable gate array (ORGA) VLSI using the designs of an ORGA-VLSI and a currently available serial-configuration FPGA.

    DOI: 10.1109/SII.2015.7405083

    Web of Science

    researchmap

  • Triple modular redundancy on parallel-operation-oriented optically reconfigurable gate arrays 査読

    Yoshizumi Ito, Minoru Watanabe

    2015 IEEE INTERNATIONAL CONFERENCE ON AEROSPACE ELECTRONICS AND REMOTE SENSING TECHNOLOGY (ICARES)   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, to realize autonomous functions resembling those of humans on space systems, higher-performance embedded systems than those of current space systems are necessary for use in long-distance space missions. Currently, a parallel-operation-oriented optically reconfigurable gate array (ORGA) has been under development. The ORGA can support a nanosecond-order high-speed reconfiguration and high-density implementation of parallel operations. The parallel-operation-oriented ORGA has many programmable gate array layers, which share a common configuration context and increase the number of implementable parallel operations. Therefore, a parallel-operation-oriented ORGA is suitable for hardware acceleration of a software operation on a processor. However, in a space environment, triple modular redundancy (TMR) must be used. Single common configuration memory becomes a weak point for radiation in terms of redundancy. Therefore, we propose a TMR implementation method that is suitable for the parallel-operation-oriented ORGA.

    DOI: 10.1109/ICARES.2015.7429829

    Web of Science

    researchmap

  • Total-ionizing-dose tolerance analysis of an optically reconfigurable gate array VLSI

    Minoru Watanabe

    2015 IEEE International Conference on Aerospace Electronics and Remote Sensing Technology (ICARES)   2015年12月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/icares.2015.7429832

    Web of Science

    researchmap

  • FPGA Trax Solver based on a Neural Network Design 査読

    Takumi Fujimori, Tomoya Akabe, Yoshizumi Ito, Kouta Akagi, Shinya Furukawa, Hiroki Shinba, Aoi Tanibata, Minoru Watanabe

    2015 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE TECHNOLOGY (FPT)   260 - 263   2015年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    For research related to field programmable gate arrays (FPGAs), various FPGA game solvers have been developed recently. The FPGA game solver can achieve up to 1,000 times faster processing speeds than processor-based operations. This paper presents one development of such FPGA game solvers: an FPGA Trax Solver based on a neural network design. The speed of the FPGA Trax Solver operations implemented on an FPGA (Arria II GX; Altera Corp.) is over 60 times greater than operation of C++ based software on a personal computer (Vostro 460; Dell Inc.).

    DOI: 10.1109/FPT.2015.7393119

    Web of Science

    researchmap

  • Triple modular redundancy on parallel-operation-oriented FPGA architectures for optical communications 査読

    Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 4   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, high-speed space optical communication requires real-time hardware operation instead of slow software operation on a processor. For such purposes, field programmable gate arrays (FPGAS) are extremely useful. In such hardware accelerations, a software algorithm is frequently implemented onto an FPGA as a parallel operation. However, in such implementations, many regions of the configuration memory on an FPGA must have common circuit information, but the overlap on the configuration memory can be regarded as wasteful implementation. Therefore, a parallel-operation-oriented FPGA has been proposed to implement parallel operations onto an FPGA efficiently. Such a parallel-operation-oriented FPGA has numerous programmable gate array layers sharing a common configuration context so that the overlap data on the configuration memory of an FPGA can be removed perfectly. However, in space environments, triple modular redundancy must always be used to remove soft errors and the single shared configuration architecture of the parallel-operation-oriented FPGA is the opposite way of such redundancy. To meet those demands, we propose a suitable implementation method of triple modular redundancy (TMR) onto the parallel-operation-oriented FPGA architecture.

    DOI: 10.1109/ICSOS.2015.7425070

    Scopus

    researchmap

  • 100 mrad total-ionizing dose tolerance experiment of a laser array 査読

    Kouta Akagi, Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 3   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, lasers are being used for space optical communications. However, in a space environment, space radiation is constantly incident to lasers. Therefore, the radiation tolerance analysis of lasers is an important characteristic that must be evaluated. As described in this report, we present radiation experiment results of a semiconductor laser array. This experiment measured the radiation tolerance of the laser array using a Cobalt 60 gamma radiation source. Results show that the laser array stands up to at least 100 Mrad total ionizing dose.

    DOI: 10.1109/ICSOS.2015.7425076

    Scopus

    researchmap

  • Fresnel lens radiation shield for photodiodes 査読

    Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 2   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, since space satellites use image sensors and photodiode sensors, their radiation tolerance has become an important concern. In addition, some available space communication systems use a photodiode sensor for communications. However, since such sensors have an aperture without shielding, the total ionizing dose tolerance of the sensors becomes so weak that the radiation tolerance becomes lower than that of other embedded components. This paper therefore presents a proposal of a Fresnel lens radiation shield for use with photodiodes. A Fresnel lens can increase the light sensitivity and radiation tolerance of a photodiode.

    DOI: 10.1109/ICSOS.2015.7425088

    Scopus

    researchmap

  • Effect of Laser Exposure Condition on Formation of Holographic Memory by Angle-multiplexing Recording using Liquid Crystal composites 査読

    Akifumi Ogiwara, Minoru Watanabe

    2015 20TH MICROOPTICS CONFERENCE (MOC)   1 - 2   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    The effect of laser exposure condition on the formation of holographic polymer-dispersed liquid crystal (HPDLC) memory by the angle-multiplexing recording is discussed. The successive laser illumination system to record the various configuration contexts at the different region and angle in HPDLC memory is constructed by controlling the laser interference exposure in liquid crystal (LC) composites and the optical equipment using the motorized stages.

    DOI: 10.1109/MOC.2015.7416433

    Web of Science

    researchmap

  • Investigating the radiation tolerance of a laser array for an optically reconfigurable gate array 査読

    Kouta Akagi, Minoru Watanabe

    2015 20TH MICROOPTICS CONFERENCE (MOC)   1 - 2   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize radiation-hardened field programmable gate arrays (FPGAs). An ORGA consists of a laser array, a holographic memory, and a programmable gate array VLSI. Since the configuration of an ORGA is a parallel configuration, damage to a configuration circuit or a component does not affect configuration procedures on other configuration circuits. Therefore, even if almost all look-up tables (LUTs) malfunction because of radiation, the remaining functional LUTs can be programmed and used, whereas the serial configuration of FPGAs does not allow the use of a partly failed gate array. However, to achieve higher radiation tolerance of a programmable gate array than that of an FPGA in ORGA architecture, the radiation tolerances of a laser array and a holographic memory must be sufficiently higher than that of the part of a programmable gate array VLSI. Since the radiation tolerance of a holographic memory has already been confirmed as sufficiently higher than that of a programmable gate array VLSI, this paper presents a radiation tolerance investigation of a laser array on an optically reconfigurable gate array. Experiments using Co60 gamma radiation have demonstrated that a laser array has a greater than 20 Mrad total ionizing dose tolerance.

    DOI: 10.1109/MOC.2015.7416484

    Web of Science

    researchmap

  • Total ionizing dose tolerance of the serial configuration on cyclone II FPGA 査読

    Hiroyuki Ito, Minoru Watanabe

    2015 IEEE International Conference on Space Optical Systems and Applications, ICSOS 2015   1 - 4   2015年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Recently, existing radiation-hardened static random access memory (SRAM)-based field programmable gate arrays (FPGAS) are anticipated for use in space radiation environments. Although such radiation-hardened SRAM-based FPGAS are programmable, the use of a radiation-damaged gate array has never been assessed for use with application-specific integrated circuits (ASICs) because its serial configuration is invariably damaged first by radiation so that the configuration itself becomes impossible. Therefore, currently available FPGA cannot be used in such faulty gate arrays used in space environments. However, if faulty programmable gate arrays are used, then the total ionizing dose tolerance of the programmable gate array would be increased even if its process technology were the same as that of conventional FPGAS. In this paper, in order to explore the possibility, we experimentally assessed the configuration circuit robust capabilities of a normal Cyclone II FPGA. Also, we examined the failure probability when using 1-bit, 2-bit, 4-bit, and 8-bit buses for the FPGA configuration using the designs of serially configured FPGAS.

    DOI: 10.1109/ICSOS.2015.7425067

    Scopus

    researchmap

  • Radiation-hardened optically reconfigurable gate array exploiting holographic memory characteristics 査読

    Daisaku Seto, Minoru Watanabe

    JAPANESE JOURNAL OF APPLIED PHYSICS   54 ( 9 )   09MA06-1 - 09MA06-5   2015年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IOP PUBLISHING LTD  

    In this paper, we present a proposal for a radiation-hardened optically reconfigurable gate array (ORGA). The ORGA is a type of field programmable gate array (FPGA). The ORGA configuration can be executed by the exploitation of holographic memory characteristics even if 20% of the configuration data are damaged. Moreover, the optoelectronic technology enables the high-speed reconfiguration of the programmable gate array. Such a high-speed reconfiguration can increase the radiation tolerance of its programmable gate array to 9.3 x 10(4) times higher than that of current FPGAs. Through experimentation, this study clarified the configuration dependability using the impulse-noise emulation and highspeed configuration capabilities of the ORGA with corrupt configuration contexts. Moreover, the radiation tolerance of the programmable gate array was confirmed theoretically through probabilistic calculation. (C) 2015 The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.54.09MA06

    Web of Science

    researchmap

  • Formation of holographic memory by angle-multiplexing recording in liquid crystal composites 査読

    Akifumi Ogiwara, Minoru Watanabe

    2015 11th Conference on Lasers and Electro-Optics Pacific Rim, CLEO-PR 2015   3   1 - 2   2015年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    Formation of holographic polymer-dispersed liquid crystal (HPDLC) memory for an optically reconfigurable gate array based on the angle-multiplexing recording is discussed by controlling the laser interference exposure in liquid crystal (LC) composites. The successive laser illumination system to record the various configuration contexts at the different region and angle in HPDLC memory is constructed by using the half mirror and photomask placed on the motorized stages under the control of a personal computer (PC). The effect of laser exposure energy on the formation of holographic memory is investigated by the measurement of diffraction intensity during the grating formation process. The reconstruction of configuration contexts for the various logical circuits is demonstrated by the laser illumination at different incident angle in the HPDLC memory.

    DOI: 10.1109/CLEOPR.2015.7376567

    Web of Science

    Scopus

    researchmap

  • Holographic scrubbing technique for a programmable gate array 査読

    Minoru Watanabe, Takumi Fujimori

    2015 NASA/ESA CONFERENCE ON ADAPTIVE HARDWARE AND SYSTEMS (AHS)   1 - 5   2015年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, field programmable gate arrays (FPGAs), which have become widely used for embedded systems, have also become anticipated for use in high-radiation environments such as space environments and nuclear power plants. Currently available radiation-hardened FPGAs can support scrubbing techniques, but such techniques entail the difficulty that radiation tolerance is insufficient for high-radiation environments. This paper therefore presents a holographic scrubbing technique for a programmable gate array. The scrubbing period can be less than 10 ns, so the robust ability of programmable gate arrays can be increased drastically. This paper presents theoretical analysis results and a demonstration of high-speed scrubbing on an optically reconfigurable gate array.

    DOI: 10.1109/AHS.2015.7231161

    Web of Science

    researchmap

  • Design of a parallel-operation-oriented FPGA 査読

    Minoru Watanabe

    2015 International Symposium on Next-Generation Electronics (ISNE)   1 - 4   2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, studies of acceleration of software operations on a processor have been executed aggressively using Field Programmable Gate Arrays (FPGAs). However, currently available FPGA architecture presents waste under a parallel operation in terms of configuration memory because the same configuration context corresponding to same-function modules must be programmed onto numerous parts of configuration memory. This paper therefore presents a proposal for a parallel-operation-oriented FPGA architecture including a shared common configuration memory. In this research, a parallel-operation-oriented FPGA with four programmable gate arrays sharing a common configuration memory has been designed using a 0.18 mu m CMOS process technology. The advantage of the parallel-operation-oriented FPGA is clarified and a design technique to achieve a high-performance parallel-operation-oriented FPGA is discussed.

    DOI: 10.1109/ISNE.2015.7132021

    Web of Science

    researchmap

  • Radiation-hardened Optically Reconfigurable Gate Array Using a Negative Logic Configuration without a Dedicated VLSI 査読

    T. Fujimori, M. Watanabe

    24th Annual Single Event Effects (SEE) Symposium   2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • High-resolution configuration of optically reconfigurable gate arrays 査読

    Kouta Akagi, Minoru Watanabe

    2015 International Symposium on Next-Generation Electronics (ISNE)   1 - 4   2015年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs) have been developed to offer numerous reconfiguration contexts with high-speed dynamic reconfiguration. Such ORGAs consist of a holographic memory, a laser array, and optically reconfigurable gate array VLSI. The storage capacity of a three-dimensional holographic memory is much higher than that of current two-dimensional memory technologies. Therefore, even Tera-gate-count circuit information can be stored on such a holographic memory. Moreover, high-speed reconfiguration can be realized by exploiting two-dimensional free optical connections between a holographic memory and a photodiode array on an optically reconfigurable gate array VLSI. Such high-speed dynamic reconfiguration can increase the gate array performance. Currently, we are trying to develop a high-density optically reconfigurable gate array VLSI. An important bottleneck is the limitation of light diffraction. This report presents a method of increasing the resolution of diffraction light for realizing a high-density optically reconfigurable gate array

    DOI: 10.1109/ISNE.2015.7131967

    Web of Science

    researchmap

  • Radiation tolerance experiment for a dynamically reconfigurable vision architecture, 査読

    M. Watanabe, S. Kawahito

    International Journal of Image Processing Techniques   2 ( 1 )   59 - 62   2015年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    researchmap

  • Total ionizing dose effects of optical components on an optically reconfigurable gate array 査読

    Retsu Moriwaki, Hiroyuki Ito, Kouta Akagi, Minoru Watanabe, Akifumi Ogiwara

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   9040   393 - 400   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Springer Verlag  

    Recently, optically reconfigurable gate arrays (ORGAs) have been developed as radiation-hardened field programmable gate arrays (FPGAs) to realize a 10 Mrad total ionizing dose-tolerance. Specifically, ORGAs offer important benefits of high-speed reconfiguration, numerous reconfiguration contexts, and robust configuration. These three factors are important to remove the soft-error of a configuration circuit on a programmable gate array and to increase the total ionizing dose tolerance. Although the robust configuration capability has been confirmed using radiation emulation, no actual radiation experiment has been reported to date in the relevant literature. This paper therefore presents experiments demonstrating the enhanced radiation tolerance of an optically reconfigurable gate array using a cobalt-60 gamma radiation source.

    DOI: 10.1007/978-3-319-16214-0_35

    Scopus

    researchmap

  • Parallel-Operation-Oriented Optically Reconfigurable Gate Array 査読

    Takumi Fujimori, Minoru Watanabe

    ARCHITECTURE OF COMPUTING SYSTEMS - ARCS 2015   9017   3 - 14   2015年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:SPRINGER-VERLAG BERLIN  

    Recently, studies exploring acceleration of software operations on a processor have been undertaken aggressively using field programmable gate arrays (FPGAs). However, currently available FPGA architectures present waste occurring with parallel operation in terms of configuration memory because the same configuration context corresponding to same-function modules must be programmed onto numerous configuration memory parts. Therefore, a parallel-operation-oriented FPGA with a single shared configuration memory for some programmable gate arrays has been proposed. Here, the architecture is applied for optically reconfigurable gate arrays (ORGA). To date, the ORGA architecture has demonstrated that a high-speed dynamic reconfiguration capability can increase the performance of its programmable gate array drastically. Software operations can be accelerated using an ORGA. This paper therefore presents a proposal for combinational architecture of the parallel-operation oriented FPGA architecture and a high-speed reconfiguration ORGA. The architecture is called a parallel-operation-oriented ORGA architecture. For this study, a parallel-operation-oriented ORGA with four programmable gate arrays sharing a common configuration photodiode-array has been designed using 0.18 mu m CMOS process technology. This study clarified the benefits of the parallel-operation-oriented ORGA in comparison with an FPGA having the same gate array structure, produced using the same process technology.

    DOI: 10.1007/978-3-319-16086-3_1

    Web of Science

    researchmap

  • Radiation tolerance of optically reconfigurable gate arrays

    R. Moriwaki, H. Ito, M. Watanabe, A. Ogiwara, H. Maekawa

    International Symposium Toward the Future of Advanced Researches in Shizuoka University   2015年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optically Reconfigurable Gate Array Prototype System

    M. Seo, M. Watanabe

    The 1st RIS-MJIIT Workshop on Renewable and Sustainable Integrated Systems   2015年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Radiation tolerance experiment for a dynamically reconfigurable vision architecture 査読

    M. Watanabe, S. Kawahito

    International Conference on Advances in Computing, Electronics and Electrical Technology   1 - 4   2014年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Dependable Optically Reconfigurable Gate Array Architecture

    Minoru Watanabe

    International Symposium on Optical Memory   210 - 211   2014年10月

     詳細を見る

    記述言語:英語  

    researchmap

  • Image recognition system using an optical Fourier transform on a dynamically reconfigurable vision architecture 査読

    Yuki Kamikubo, Minoru Watanabe, Shoji Kawahito

    2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)   1528 - 1531   2014年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, several varieties of image recognition systems using Fourier transform have been proposed. The salient benefit of using a Fourier transform is its position-independent image recognition capability. However, the operation of Fourier transform of high-resolution images is an extremely heavy operation. This paper therefore presents a proposal of image recognition systems using an optical Fourier transform on a dynamically reconfigurable vision architecture. This proposed system can recognize numerous images within an extremely short period using dynamic reconfiguration.

    DOI: 10.1109/ISCAS.2014.6865438

    Web of Science

    researchmap

  • A parallel-operation-oriented FPGA architecture 査読

    M. Watanabe

    International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies   123 - 126   2014年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optically Reconfigurable Gate Array with an Angle-Multiplexed Holographic Memory 査読

    Retsu Moriwaki, Hikaru Maekawa, Akifumi Ogiwara, Minoru Watanabe

    GLSVLSI'14: PROCEEDINGS OF THE 2014 GREAT LAKES SYMPOSIUM ON VLSI   341 - 346   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    Optically reconfigurable gate arrays (ORGAs) have been developed to achieve a high-performance FPGA with numerous configuration contexts. In the architecture, an optical memory technology or a holographic memory technology has been introduced so that the architecture can have numerous configuration contexts and high-speed reconfiguration capability. Results show that the architecture can achieve a large virtual gate count that is much larger than those of currently available VLSIs. To date, ORGAs with a spatially multiplex holographic memory have been reported. However, the spatially multiplexed holographic memory can only have a small number of configuration contexts, which are limited to about 256 configuration contexts. To implement more than a million configuration contexts, an angle-multiplex holographic memory must be used. However, no ORGA with an angle multiplex holographic memory that can sufficiently exploit the huge storage capacity of a holographic memory has ever been reported. Therefore, this paper presents a proposal of a novel ORGA with an angle-multiplexed holographic memory. The architecture can open the possibility of providing a million configuration contexts for a multi-context FPGA.

    DOI: 10.1145/2591513.2591597

    Web of Science

    researchmap

  • Radiation tolerance of color configuration on an optically reconfigurable gate array 査読

    Takumi Fujimori, Minoru Watanabe

    PROCEEDINGS OF 2014 IEEE INTERNATIONAL PARALLEL & DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS (IPDPSW)   205 - 210   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Currently, research of optically reconfigurable gate arrays (ORGAs), a type of multi-context field programmable gate arrays (FPGAs), has progressed rapidly. ORGAs offer important benefits of high-speed reconfiguration, numerous reconfiguration contexts, and robust configuration. Such ORGAs always consist of a single-wavelength laser array to address configuration contexts. However, for this architecture, concerns related to its package size often arise. The laser array is large because of the large space between lasers. For that reason, the ORGA also tends to be large. Therefore, we have introduced some wavelength lasers inside a laser array of an ORGA to decrease the laser array size. Results show that the ORGA package can be smaller. However, the dependability of color configuration has never been discussed up to now. This paper therefore presents a demonstration of the radiation tolerance of color configuration on an optically reconfigurable gate array.

    DOI: 10.1109/IPDPSW.2014.27

    Web of Science

    researchmap

  • Dependable optically differential reconfigurable gate array 査読

    M. Seo, M. Watanabe

    International Conference on Space Optical Systems and Applications, CD-ROM (6 pages)   2014年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Enhanced radiation tolerance of an optically reconfigurable gate array by exploiting an inversion/non-inversion implementation 査読

    Takashi Yoza, Minoru Watanabe

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   8405   156 - 166   2014年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Springer Verlag  

    To date, optically reconfigurable gate arrays (ORGAs) have been developed to realize highly dependable embedded systems. ORGAs present many beneficial capabilities beyond those of field programmable gate arrays (FPGAs): The most important is that an ORGA can be reconfigured using an error-included configuration context that has been damaged by high-energy charged particles. The radiation tolerance of an ORGA is extremely high. Moreover, if an inversion/ non-inversion implementation architecture is introduced to an ORGA, the configuration dependability of the ORGA for radiation can be increased drastically. This paper therefore presents a demonstration of the enhanced radiation tolerance of an optically reconfigurable gate array achieved by exploiting the inversion/ non-inversion implementation. © 2014 Springer International Publishing Switzerland.

    DOI: 10.1007/978-3-319-05960-0_14

    Scopus

    researchmap

  • A high-density optically reconfigurable gate array VLSI using variable holographic memory patterns 査読

    K. Akagi, M. Watanabe

    International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems   2014年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Formation of holographic memory for optically reconfigurable gate array by angle-multiplexing recording of multi-circuit information in liquid crystal composites

    Akifumi Ogiwara, Hikaru Maekawa, Minoru Watanabe, Retsu Moriwaki

    Emerging Liquid Crystal Technologies IX   9004   90040M-1   2014年2月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:SPIE  

    DOI: 10.1117/12.2038024

    Web of Science

    researchmap

  • Mono-instruction set computer architecture on a 3D optically reconfigurable gate array 査読

    Hiroyuki Ito, Minoru Watanabe

    2013 IEEE ELECTRICAL DESIGN OF ADVANCED PACKAGING AND SYSTEMS SYMPOSIUM (EDAPS)   173 - 176   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Currently, three-dimensional VLSI technologies are being developed. However, by increasing the number of layers of TSV or stacking layers, the production difficulty of VLSI is increased. Therefore, optically reconfigurable gate arrays (ORGAs) have been developed to realize high-speed dynamic reconfiguration. The ORGA consists of a holographic memory, a programmable gate array, and a laser array. An ORGA can store large amounts of circuit information inside a holographic memory. The circuit information can be programmed dynamically onto an ORGA's programmable gate array in nanosecondorder. The ORGA allows high-speed dynamic reconfiguration. If the high-speed dynamic reconfiguration can be used for the implementation of processors, then the processor performance can be increased. The implementation technique is called a mono-instruction set computer (MISC) architecture. This paper presents a demonstration result of a high-performance MISC architecture that fully exploits the high-speed programmability of an ORGA.

    DOI: 10.1109/EDAPS.2013.6724417

    Web of Science

    researchmap

  • Many-module redundancy implementation of mono instruction set computers for 3D optical FPGAs 査読

    Yuya Shirahashi, Minoru Watanabe

    2013 IEEE ELECTRICAL DESIGN OF ADVANCED PACKAGING AND SYSTEMS SYMPOSIUM (EDAPS)   169 - 172   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Demand for programmable devices for space applications is increasing day-by-day to support hardware repair functions, hardware update functions, and hardware acceleration for space systems. An optically reconfigurable gate array (ORGA) has been developed as a robust multi-context field programmable gate array that is quite suitable for such space applications. The ORGA can be reconfigured using corrupt configuration data with nanosecond-order reconfiguration speed. Currently, we also propose a mono-instruction set computer architecture exploiting the high-speed dynamic reconfiguration. Using the mono-instruction set computer architecture, many-module redundancy over triple module redundancy (TMR) can be realized. This paper presents one demonstration result of a mono-instruction set computer and its robust capabilities.

    DOI: 10.1109/EDAPS.2013.6724416

    Web of Science

    researchmap

  • Color configuration method for an optically reconfigurable gate array 査読

    Takumi Fujimori, Minoru Watanabe

    PROCEEDINGS OF THE 2013 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT)   406 - 409   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents a proposal of a color configuration method for an optically reconfigurable gate array (ORGA). A conventional ORGA consists of a single-wavelength laser array to address configuration contexts. However, the new ORGA has lasers of some other wavelength inside a laser array. Consequently, the addressable number of configuration contexts can be increased.

    DOI: 10.1109/FPT.2013.6718400

    Web of Science

    researchmap

  • FPGA Blokus Duo Solver using a massively parallel architecture 査読

    Takashi Yoza, Retsu Moriwaki, Yuki Torigai, Yuki Kamikubo, Takayuki Kubota, Takahiro Watanabe, Takumi Fujimori, Hiroyuki Ito, Masato Seo, Kouta Akagi, Yuichiro Yamaji, Minoru Watanabe

    PROCEEDINGS OF THE 2013 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT)   494 - 497   2013年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, many game programs have been developed aggressively as hardware on field programmable gate arrays (FPGAs) because of the extremely large solution space of such games as the Connect6 game, Blokus Duo game, and others so that the computational capabilities of computers are currently insufficient to search all possible solutions. This report describes an FPGA acceleration experiment for the Blokus Duo game. The FPGA Blokus Duo Solver was implemented on an Arria II GX FPGA (Altera Corp.). Its operation speed is 25 times faster than C++ based software operation of the same algorithm on a Core i7 processor.

    DOI: 10.1109/FPT.2013.6718426

    Web of Science

    researchmap

  • A dynamic optically reconfigurable gate array using a blue laser 査読

    Takayuki Kubota, Minoru Watanabe

    4th International Conference on Photonics, ICP 2013 - Conference Proceeding   129 - 131   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Recently, optically reconfigurable gate arrays (ORGAs), which can support a high-speed dynamic reconfiguration with numerous reconfiguration contexts, have been developed. Although an ORGA is a three-dimensional VLSI, no through-silicon via (TSV) technology or any micro-bump technology is never necessary to produce an ORGA. A three-dimensional ORGA uses only free-optical connections and a volume-type holographic memory technology. Therefore, the yield ratio of ORGAs is so high that ORGAs can easily be produced with no concern related to production variation. In this study, to increase the gate density, a short wavelength laser of 404 nm is applied to ORGA architecture. This paper presents the reconfiguration capabilities of the reconfiguration period and retention time of the photodiode memory architecture of a newly fabricated ORGA-VLSI. © 2013 IEEE.

    DOI: 10.1109/ICP.2013.6687090

    Scopus

    researchmap

  • Angle-multiplexing recording of multi-context for optically reconfigurable gate array in holographic memory using liquid crystal composites 査読

    Akifumi Ogiwara, Hikaru Maekawa, Minoru Watanabe, Retsu Moriwaki

    2013 18TH MICROOPTICS CONFERENCE (MOC)   2013年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A holographic polymer-dispersed liquid crystal (HPDLC) memory to record multi-context information for an optically reconfigurable gate array is formed by the angle-multiplexing recording using successive laser exposures in liquid crystal (LC) composites. The laser illumination system is constructed using the half mirror and photomask written by the different configuration contexts placed on the motorized stages under the control of a personal computer. The configuration contexts corresponding to the various logical circuits are reconstructed by the laser illumination at different incident angle in the HPDLC memory.

    Web of Science

    researchmap

  • Temperature dependence of anisotropic diffraction in holographic polymer-dispersed liquid crystal memory 査読

    Akifumi Ogiwara, Minoru Watanabe, Retsu Moriwaki

    APPLIED OPTICS   52 ( 26 )   6529 - 6536   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Grating devices using photosensitive organic materials play an important role in the development of optical and optoelectronic systems. High diffraction efficiency and polarization dependence achieved in a holographic polymer-dispersed liquid crystal (HPDLC) grating are expected to provide polarization-controllable optical devices, such as a holographic memory for optically reconfigurable gate arrays (ORGAs). However, the optical property is affected by the thermal modulation around the transition temperature (T-ni) where the liquid crystal (LC) changes from nematic to isotropic phases. The temperature dependence of the diffraction efficiency in HPDLC grating is investigated using four types of LC composites comprised of LCs and monomers having different physical properties such as T-ni and anisotropic refractive indices. The holographic memory formed by the LC with low anisotropic refractive index and LC diacrylate monomer implements optical reconfiguration for ORGAs at a high temperature beyond T-ni of LC. (c) 2013 Optical Society of America

    DOI: 10.1364/AO.52.006529

    Web of Science

    researchmap

  • IMAGE RECOGNITION OPERATION ON A DYNAMICALLY RECONFIGURABLE VISION ARCHITECTURE 査読

    Yuki Kamikubo, Minoru Watanabe, Shoji Kawahito

    2013 23RD INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (FPL 2013) PROCEEDINGS   1 - 4   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, for use in autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. However, to recognize numerous images quickly, such systems require many template images to be read out dynamically from memory. They must then be sent to a processor quickly. Achieving such high-speed real-time image recognition operation is difficult because of the bottleneck of the transfer between the memory and the processor. To alleviate that bottleneck, a dynamically reconfigurable vision architecture was proposed. This paper presents 16-gray scale image recognition operation of the proposed architecture.

    DOI: 10.1109/FPL.2013.6645603

    Web of Science

    researchmap

  • A fine-grained dependable optically reconfigurable gate array as a multi-soft-core processor platform 査読

    Retsu Moriwaki, Minoru Watanabe

    Proceedings - IEEE 7th International Symposium on Embedded Multicore/Manycore System-on-Chip, MCSoC 2013   7 - 12   2013年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE Computer Society  

    Optically reconfigurable gate arrays (ORGAs) have been developed as high-speed reconfigurable fine grained gate arrays that can accommodate implementation of a multi-soft-core processor. An ORGA's programmable gate array can be reconfigured in nanosecond-order with one of more than 256 reconfiguration contexts. Its high-speed dynamic reconfiguration capability is suitable for dynamically changing the functions of a multi-core processor. In addition to that beneficial feature, ORGAs have high dependability against space radiation since the ORGA can be reconfigured with an error-included configuration context. In this paper, while maintaining its highly dependable capability, a more advanced dependability-increasing technique using a negative logic implementation is proposed. The dependability of data on a holographic memory depends on the number of bright bits or the binary state H included in a configuration context. If the number of bright bits in a configuration context can be decreased, then the configuration dependability can also be increased. The proposed optical configuration dependability-increasing technique of a negative logic implementation can decrease the number of bright bits in a configuration context. This technique can raise the ORGA's configuration dependability level even further. This paper describes an experimental demonstration of the usefulness of the proposed technique. © 2013 IEEE.

    DOI: 10.1109/MCSoC.2013.33

    Scopus

    researchmap

  • Fourier transformation on an optically reconfigurable gate array 査読

    Hiroyuki Ito, Minoru Watanabe

    2013 IEEE 56TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS)   193 - 196   2013年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    To date, optically reconfigurable gate arrays (OR-GAs) consisting of a holographic memory, a laser array, and an optically reconfigurable gate array VLSI have been developed to realize fast reconfiguration and numerous reconfiguration contexts. Numerous configuration contexts can be stored on a holographic memory and can be programmed dynamically onto a gate array in a nanosecond-order period. Such highspeed dynamic reconfiguration enables a large virtual gate over a physical gate, for example a 1 Tera-gate VLSI. However, in addition to the advantages, the ORGA architecture allows high-speed optical Fourier transformation by addition of a programmable Fresnel lens. This paper presents a proposal of a new ORGA architecture to support Fourier transformation and results of its demonstration.

    DOI: 10.1109/MWSCAS.2013.6674618

    Web of Science

    researchmap

  • Configuration on an optically reconfigurable gate array under the maximum 120°C temperature condition 査読

    R. Moriwaki, M. Watanabe, A. Ogiwara

    OptoElectronics and Communications Conference   1 - 2   2013年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A 7-depth search FPGA Connect6 Solver 査読

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, A. Tanigawa, T. Kubota, H. Ito, Y. Shirahashi, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   95 - 98   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Four-configuration-context optically reconfigurable gate array with a MEMS interleaving method 査読

    Yuichiro Yamaji, Minoru Watanabe

    Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2013   172 - 177   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    We have been developing Optically Reconfigurable Gate Arrays (ORGAs) with higher radiation tolerance than that of a Field Programmable Gate Array (FPGA). The ORGA configuration is extremely robust against radiation. Moreover, that radiation tolerance of ORGA's programmable gate array can be increased by exploiting the advantageous high-speed dynamic reconfiguration of an ORGA. Although an ORGA has such a radiation-tolerance benefit, a lot of lasers that are necessary for addressing a holographic memory present concerns related to cost. Therefore, MEMS technology was introduced to reduce the number of lasers. Using a novel interleaving method, the reconfiguration speed is maintained as 10 ns: 1000 lasers and 1,000,000 MEMS mirrors can address one million configuration contexts. This paper presents a proposal of more advanced technique by which one mirror can address four configuration contexts by controlling the mirror angle. Although MEMS mirrors are not expensive, the proposed method contributes to the miniaturization of an ORGA package and to weight reduction. © 2013 IEEE.

    DOI: 10.1109/AHS.2013.6604242

    Scopus

    researchmap

  • A dependability-increasing demonstration for a 16-configuration context optically reconfigurable gate array 査読

    A. Tanigawa, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   129 - 132   2013年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Formation of holographic memory by recording of multi-context in liquid crystal composites

    Akifumi Ogiwara, Hikaru Maekawa, Minoru Watanabe, Retsu Moriwaki

    2013 Conference on Lasers and Electro-Optics Pacific Rim (CLEOPR)   1 - 2   2013年6月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/cleopr.2013.6600439

    researchmap

  • Dependability-increasing technique for a multi-context optically reconfigurable gate array 査読

    Akira Tanigawa, Minoru Watanabe

    2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)   1568 - 1571   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array. An ORGA's programmable gate array with more than 100 reconfiguration contexts can be reconfigured in nanosecondorder. In addition to that beneficial feature, ORGAs can be reconfigured with invalid configuration data that have been damaged by high-energy charged particles in a radiation-rich space environment. Even if 35 % or 5,915 pixels of holographic memory data are damaged by high-energy charged particles, the ORGA can execute configuration operations correctly. Therefore, ORGAs are sufficiently robust devices for use in spaceradiation environments. This paper presents a proposal of a more advanced dependability-increasing technique on a multicontext ORGA. This technique can raise the ORGA's level of configuration dependability even further by exploiting multicontext implementation.

    DOI: 10.1109/ISCAS.2013.6572159

    Web of Science

    researchmap

  • 0.18 μm CMOS process photodiode memory 査読

    T. Kubota, M. Watanabe

    IEEE International Symposium on Circuits and Systems   1464 - 1467   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ISCAS.2013.6572133

    Scopus

    researchmap

  • MEMS interleaving method for optically reconfigurable gate arrays 査読

    Y. Yamaji, M. Watanabe

    IEEE International Conference on Electro/Information Technology   172 - 177   2013年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Formation of temperature dependable holographic memory using holographic polymer-dispersed liquid crystal 査読

    Akifumi Ogiwara, Minoru Watanabe, Retsu Moriwaki

    Optics Letters   38 ( 7 )   1158 - 1160   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    Grating devices using photosensitive organic materials play an important role in the development of optical and optoelectronic systems. High diffraction efficiency and polarization dependence achieved in a holographic polymerdispersed liquid crystal (HPDLC) grating are expected to provide polarization controllable optical devices, such as the holographic memory for optically reconfigurable gate arrays (ORGAs). However, the optical property is affected by the thermal modulation around the transition temperature (T ni) that the liquid crystal (LC) changes from nematic to isotropic phases. The temperature dependence of the diffraction efficiency in HPDLC grating is discussed with two types of LC composites comprised of isotropic and LC diacrylate monomers. The holographic memory formed by the LC and LC diacrylate monomer performs precise reconstruction of the context information for ORGAs at high temperatures more than 150°C. © 2013 Optical Society of America.

    DOI: 10.1364/OL.38.001158

    Scopus

    researchmap

  • Power consumption of mono-instruction set computers (MISCs) 査読

    H. Ito, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   2013年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optical configuration acceleration on a new optically reconfigurable gate array VLSI using a negative logic implementation 査読

    Retsu Moriwaki, Minoru Watanabe

    Applied Optics   52 ( 9 )   1939 - 1946   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OSA - The Optical Society  

    This paper presents a proposal of an optical configuration acceleration method applied to optically reconfigurable gate arrays (ORGAs) using a negative logic implementation. The gate array of an ORGA is reconfigured using a holographic memory. The reading time of a holographic memory depends on the number of bright bits included in a configuration context. The proposed optical configuration acceleration method can decrease the number of bright bits. As a result, the proposed optical configuration acceleration method can increase the reconfiguration frequency. In this paper, a fabricated ORGA very large scale integration that can support the optical configuration acceleration method is estimated. Consequently, this paper shows that the reconfiguration frequency of the proposed method is 1.97 times higher than those of conventional ORGA architectures with no increase of laser power. © 2013 Optical Society of America.

    DOI: 10.1364/AO.52.001939

    Scopus

    researchmap

  • Dependability-increasing method of processors under a space radiation environment 査読

    Yuya Shirahashi, Minoru Watanabe

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   7806   218   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Optically reconfigurable gate arrays (ORGAs) can be reconfigured using error-inclusive configuration contexts under a radiation-rich space environment. Therefore, the ORGA presents an important benefit: the allowable amount of configuration data damage is greater than that by field programmable gate arrays (FPGAs) with error-checking and correction. However, the ORGA's programmable gate array itself is never as robust against space radiation as that of an application-specific integrated circuit (ASIC) because the programmable architecture of its gate array is the same as that of FPGAs. Therefore, to achieve a drastic increase in the robust capability of a fine-grained programmable gate array on an ORGA-VLSI, this paper presents a proposal of a novel dynamic module multiple redundancy scheme based on a mono-instruction set computer architecture exploiting high-speed dynamic reconfiguration. © 2013 Springer-Verlag.

    DOI: 10.1007/978-3-642-36812-7_21

    Scopus

    researchmap

  • Temperature Dependable Holographic Memory Using Holographic Polymer-dispersed Liquid Crystal 査読

    A. Ogiwara, M. Watanabe, R. Moriwaki

    Progress In Electromagnetics Research Symposium   322 - 325   2013年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A 9-configuration-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory

    R. Moriwaki, M. Watanabe, A. Ogiwara

    Takayanagi Kenjiro Memorial Symposium   112 ( 325 )   S3_10_1 - S3_10_4   2012年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:一般社団法人電子情報通信学会  

    我々は現在,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,光による高速動的再構成が可能な光再構成型ゲートアレイを研究開発している.光再構成型ゲートアレイの特徴として,高速動的再構成と大規模なコンテキスト情報数の記憶が挙げられる.しかし,これまで光再構成型ゲートアレイは構造上,コンテキスト情報数に応じてレーザが必要なので,既存のプログラマブルデバイスと比較して価格が高くなるという問題点があった.そこで我々は,偏光依存性ホログラムメモリを用いることにより,レーザ数を削減できる光再構成型ゲートアレイのアーキテクチャを考案した.本稿では,偏光依存性ホログラムメモリを用いた9コンテキスト光再構成型ゲートアレイの試作結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • A uniform partitioning method for mono-instruction set computer (MISC) 査読

    Hiroyuki Ito, Minoru Watanabe

    Proceedings of the 2012 15th International Conference on Network-Based Information Systems, NBIS 2012   832 - 837   2012年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    As gates in field programmable gate arrays (FPGAs) become usable in ever-increasing numbers, FPGAs are becoming more widely applied in various embedded systems. FPGAs have been demonstrated as useful renewable devices. Recently however, a hard-core processor inside an FPGA is frequently used for high-performance systems so that the implementation of the hard-core processor decreases the possibility of a specification change and reuse of its FPGA. Therefore, demand for implementing a soft-core processor onto an FPGA is gaining. In response to that demand, FPGA vendors have provided soft-core processors for FPGAs, but those processors invariably provide lower performance than hard-core processors do. Therefore, this paper presents high-performance mono-instruction set computer (MISC) architecture that fully exploits the programmability of a dynamically reconfigurable fine-grained gate array. In addition, this paper presents a proposal of a uniform partitioning method for the MISC architecture. © 2012 IEEE.

    DOI: 10.1109/NBiS.2012.107

    Scopus

    researchmap

  • A 256-configuration-context MEMS optically reconfigurable gate array 査読

    Y. Yamaji, M. Watanabe

    International Conference on Solid State Devices and Materials   232 - 233   2012年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Inversion/non-inversion reconfiguration scheme for a 0.18 μm CMOS process optically reconfigurable gate array VLSI 査読

    Takahiro Watanabe, Minoru Watanabe

    2012 IEEE 55TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS)   117 - 120   2012年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    To date, various optically reconfigurable gate arrays (ORGAs) have been developed to realize both fast reconfiguration and numerous reconfiguration contexts. Optically differential reconfigurable gate arrays (ODRGAs) present advantageous capabilities compared with other ORGAs: they have increased the reconfiguration frequency per unit of laser power and have reduced optical configuration power consumption. On the other hand, dynamic optically reconfigurable gate arrays (DORGA) can realize the highest gate density, but an important disadvantage of DORGAs is that their reconfiguration frequency is lower than that of ODRGAs and their optical configuration power consumption is greater than that of ODRGAs. Therefore, a novel inversion/non-inversion dynamic optically reconfigurable gate array has been developed, adopting only the best attributes from both architectures. This paper presents an inversion/non-inversion implementation for a newly fabricated 0.18 mu m CMOS process optically reconfigurable gate array VLSI.

    DOI: 10.1109/MWSCAS.2012.6291971

    Web of Science

    researchmap

  • 0.18 μm CMOS Process highly sensitive differential optically reconfigurable gate array VLSI 査読

    Takahiro Watanabe, Minoru Watanabe

    2012 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI)   308 - 313   2012年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Currently, demand is increasing for high-speed dynamic reconfiguration on programmable devices to improve their performance. To support high-speed dynamic reconfiguration, optically reconfigurable gate arrays (ORGAs) have been undergoing rapid development. Moreover, to more increase the reconfiguration speed, optically differential reconfigurable gate arrays (ODRGAs) incorporating a differential reconfiguration method between configuration contexts have been developed. An ODRGA comprises a holographic memory, a laser array, and an optically reconfigurable gate array VLSI. The holographic memory can store many configuration contexts. Its large-bandwidth optical connection enables high-speed reconfiguration. However, photodiode sensitivities of conventional ODRGAs are not good. This paper therefore presents a newly fabricated 0.18 mu m CMOS process optically differential reconfigurable gate array VLSI chip with highly sensitive photo-circuits.

    DOI: 10.1109/ISVLSI.2012.71

    Web of Science

    researchmap

  • A 16-configuration-context robust optically reconfigurable gate array with a reconfiguration speed adjustment function 査読

    Takashi Yoza, Minoru Watanabe

    Proceedings - 22nd International Conference on Field Programmable Logic and Applications, FPL 2012   361 - 366   2012年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Demand is increasing daily for a robust VLSI chip that is useful in a radiation-rich environment. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a radiation-tolerant Field Programmable Gate Array (FPGA). The ORGA architecture is extremely robust against multi-event upsets. Moreover, it can recover from permanent errors resulting from a heavy total radiation dose. This paper presents demonstration results of a 16-configuration-context robust optically reconfigurable gate array with a reconfiguration speed-adjustment function. © 2012 IEEE.

    DOI: 10.1109/FPL.2012.6339205

    Scopus

    researchmap

  • Optical reconfiguration by anisotropic diffraction in holographic polymer-dispersed liquid crystal memory 査読

    Akifumi Ogiwara, Minoru Watanabe

    APPLIED OPTICS   51 ( 21 )   5168 - 5177   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Holographic polymer dispersed liquid crystal (HPDLC) memory is fabricated by a photoinduced phase separation comprised of polymer and liquid crystal (LC) phases using laser light interference exposures. The anisotropic diffraction induced by the alignment of LC in the periodic structure of the HPDLC memory is applied to reconstruct the configuration contexts for the optically reconfigurable gate arrays. Optical reconfiguration for various circuits under parallel programmability is implemented by switching the polarization state of incident light on the HPDLC memory using a spatial light modulator. (C) 2012 Optical Society of America

    DOI: 10.1364/AO.51.005168

    Web of Science

    researchmap

  • Methods for detection and compensation of alignment errors occurring between a programmable optically reconfigurable gate array and its writer system 査読

    Shinya Kubota, Minoru Watanabe

    PROCEEDINGS OF THE 2012 IEEE NATIONAL AEROSPACE AND ELECTRONICS CONFERENCE (NAECON)   182 - 185   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs) consisting of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSls. Consequently, ORGAs with more than tera-gate capacity will be realized by exploiting the storage capacity of a holographic memory. However, in contrast to current field-programmable gate arrays (FPGAs), conventional ORGAs have an important shortcoming: alignment errors arise when a programmable ORGA is recorded with a writer system. When programming a programmable ORGA along with alignment errors between the programmable ORGA and its writer system, the reconfiguration speed of the programmable ORGA is decreased. This paper therefore presents a detection and compensation method of alignment errors between a programmable ORGA and a writer system to alleviate that shortcoming.

    DOI: 10.1109/NAECON.2012.6531052

    Web of Science

    researchmap

  • Optical configuration acceleration on a new optically reconfigurable gate array VLSI using a negative logic implementation 査読

    R. Moriwaki, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   127 - 132   2012年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A 4-configuration context fiber-linked optically reconfigurable gate array 査読

    Yumiko Ueno, Minoru Watanabe

    Technical Digest - 2012 17th Opto-Electronics and Communications Conference, OECC 2012   592 - 593   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Recently, fiber networks and undersea fiber optic cables have become widely used throughout the world. Because of its much lower attenuation and interference, optical fiber is superior to copper wire for long-distance applications. Optical fiber data transfer rates and distances reach GHz-order and over 100 km. Previously, a remote configurable field programmable gate array (FPGA) system using current fiber networks was reported. However, since its configuration speed was very low, dynamic high-speed reconfiguration was impossible. Therefore, this paper presents a 4-configuration context fiber-linked optically reconfigurable gate array using a bundle of fiber networks and an optically reconfigurable gate array. © 2012 IEEE.

    DOI: 10.1109/OECC.2012.6276587

    Scopus

    researchmap

  • A 16-configuration-context dynamic optically reconfigurable gate array with a dependable laser array 査読

    Takashi Yoza, Minoru Watanabe

    2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS)   92 - 98   2012年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Demand is increasing daily for a large-gate-count robust VLSI chip that is useful in a radiation-rich space environment. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a much larger virtual gate count than those of current VLSI chips. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. Among such developments, dynamic optically reconfigurable gate arrays (DORGAs) have been developed to realize a high-gate-density VLSI using a photodiode memory architecture. Unfortunately, the DORGA architecture is more sensitive to the unallowable turn-off failure mode of a laser array. Therefore, this paper presents a 16-configuration-context dynamic optically reconfigurable gate array with a dependable laser array. © 2012 IEEE.

    DOI: 10.1109/AHS.2012.6268635

    Scopus

    researchmap

  • 0.18 μm CMOS process high-sensitivity optically reconfigurable gate array VLSI 査読

    T. Watanabe, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   147 - 151   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • FPGA Connect6 Solver with Hardware Sort Units 査読

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, T. Watanabe, Y. Aoyama, M. Seo, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   163 - 166   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • High speed - low power optical configuration on an ORGA with a phase-modulation type holographic memory 査読

    Takahiro Watanabe, Minoru Watanabe

    2012 IEEE 26TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS & PHD FORUM (IPDPSW)   256 - 260   2012年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) with an amplitude-modulation type holographic memory have been developed as a type of multi-context field programmable gate array. The ORGA's programmable gate array can be reconfigured in nanosecond-order, with more than 100 reconfiguration contexts. However, the diffraction efficiency of the amplitude-modulation type holographic memory is not good because the holographic memory decreases its transmission light intensity depending on the modulation level. About half of the power of the laser is consumed by the holographic memory. Therefore, this paper presents a high-speed - low-power optical configuration capability of an ORGA with a phase-modulation type holographic memory.

    DOI: 10.1109/IPDPSW.2012.28

    Web of Science

    researchmap

  • Mono-instruction computer on a dynamically reconfigurable gate array 査読

    Y. Nihira, M, Watanabe

    Workshop on Synthesis And System Integration of Mixed Information technologies   66 - 70   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • Triple module redundancy of a laser array driver circuit for optically reconfigurable gate arrays 査読

    Takahiro Watanabe, Minoru Watanabe

    Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)   7199   163 - 173   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Demand is increasing daily for a robust field programmable gate array that is useful for operations performed in a radiation-rich space environment, such as those of spacecraft, space satellites, and space stations. Optically reconfigurable gate arrays (ORGAs) are under development as robust field programmable gate arrays. Their holographic memories can generate correct configuration contexts at any time, even if up to 20 % of the holographic memory data are damaged. However, up to now, a soft error effect for a laser array on ORGA devices has never been discussed. Therefore, this paper first presents a proposal of a method to find an unexpected configuration procedure caused by a laser array driver circuit facing a soft error on conventional ORGA architectures and to recover from such a procedure. Then this paper presents a proposal of a new robust laser array driver circuit that is applicable for any ORGA architecture, which can perfectly remove the unexpected configuration procedure itself. © 2012 Springer-Verlag.

    DOI: 10.1007/978-3-642-28365-9_14

    Scopus

    researchmap

  • A full dynamically reconfigurable vision-chip system including a lens-array 査読

    Y. Kamikubo, M. Watanabe, S. Kawahito

    Workshop on Synthesis And System Integration of Mixed Information technologies   272 - 277   2012年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • Dependable Optically Reconfigurable Gate Array

    Minoru Watanabe

    2012 International Workshop on Advanced Nanovision Science   47 - 50   2012年1月

     詳細を見る

    記述言語:英語  

    researchmap

  • Binary MEMS optically reconfigurable gate array for an artificial brain system 査読

    Yuichiro Yamaji, Minoru Watanabe

    PROCEEDINGS OF THE SEVENTEENTH INTERNATIONAL SYMPOSIUM ON ARTIFICIAL LIFE AND ROBOTICS (AROB 17TH '12)   614 - 617   2012年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ALIFE ROBOTICS CO, LTD  

    Optically reconfigurable gate arrays (ORGAs) consisting of a holographic memory, a laser diode array, and a programmable gate array were developed to realize an artificial brain system for robots. In the ORGA, much information or many reconfiguration contexts can be stored in a volume-type holographic memory and can be programmed dynamically onto a programmable gate array at nanosecond-order perfectly in parallel. Therefore, by exploiting the huge storage capacity of the holographic memory and large parallel operations on a programmable gate array, huge parallel brain operations can be executed quickly on an ORGA. This paper presents a proposal of a binary MEMS-interleaving reconfiguration operation on an optically reconfigurable gate array for an artificial brain system.

    Web of Science

    researchmap

  • Gray-level image recmgnitimrmn a dynamically recmnfigurablevisimnarchitecture 査読

    Yuki Kamikubo, Minonu Witanabe, Shoji Kawahito

    International System on Chip Conference   61 - 65   2012年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Recently, for fse in aftonomofs vehicles and robots, demand has been increasing for high-speed image recognition that is sfperior to that of the hfman eye. However, to recognize nfmerofs images qfickly, sfch system reqfires many template images to be read oft dynamically from memory. They mfst then be sent to a processor qfickly. Realizing sfch high-speed real-time image recognition operation is difficflt becafse of the bottleneck of transfer speed between the memory and the processor. Therefore, to improve the bottleneck, a dynamically reconfigfrable vision architectfre that can recognize binarized images has been presented. However, to date, no dynamically reconfigfrable vision architectfre that can recognize gray-level images has ever been presented. Therefore, this paper presents experimentation related to a more advanced dynamically reconfigfrable vision architectfre that can recognize gray-level images. © 2012 IEEE.

    DOI: 10.1109/SOCC.2012.6398381

    Scopus

    researchmap

  • Holographic polymer-dispersed liquid crystal memory for optically reconfigurable gate array using subwavelength grating mask 査読

    Akifumi Ogiwara, Minoru Watanabe, Takayuki Mabuchi, Fuminori Kobayashi

    APPLIED OPTICS   50 ( 34 )   6369 - 6376   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Holographic polymer-dispersed liquid crystal (HPDLC) memory formed by a subwavelength grating (SWG) mask is presented for new optical information processing. The SWG structure in a photomask is formed on the SiO2 plate using the anisotropic reactive ion etching technique. The configuration contexts for optically reconfigurable gate arrays (ORGAs) are stored in the HPDLC memory by polarization modulation property based on the form birefringence of the SWGplate. The configuration context pattern in the HPDLC memory is reconstructed to write it for the ORGAs under parallel programmability. (C) 2011 Optical Society of America

    DOI: 10.1364/AO.50.006369

    Web of Science

    researchmap

  • An FPGA Connect6 Solver with a Two-Stage Pipelined Evaluation 査読

    Takahiro Watanabe, Retsu Moriwaki, Yuichiro Yamaji, Yuki Kamikubo, Yuki Torigai, Yuki Nihira, Takashi Yoza, Yumiko Ueno, Yuji Aoyama, Minoru Watanabe

    2011 International Conference on Field-Programmable Technology, FPT 2011   2011年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Recently, immediately following invention of a Connect6 game, many game programs were developed aggressively. However, because the solution space of the Connect6 game is extremely large, the computation powers of current computers are quite insufficient to search all possible solutions. This paper therefore presents a proposal of a field programmable gate array (FPGA) Connect6 Solver with a two-stage pipelined evaluation exploiting numerous zero-evaluation functions to evaluate only a zero value and a small number of detailed evaluation functions which can evaluate all range. The FPGA Connect6 Solver implemented onto a Cyclone IV FPGA is able to defeat target software provided by the 2011 International Conference on Field-Programmable Technology (ICFPT) within the time limit of 1 s for each turn. In addition, the FPGA Connect6 Solver can defeat another JAVA-based software program with a similar algorithm. At that time, the operation speed of the FPGA Connect6 Solver is 764.2 times higher than that of the software operating on a personal computer (Vostro 220S
    Dell Inc.) with a 3.16 GHz, Core 2 Duo processor (Intel Corp.). © 2011 IEEE.

    DOI: 10.1109/FPT.2011.6133249

    Scopus

    researchmap

  • Full liquid crystal spatial light modulator writer system for a programmable optically reconfigurable gate array 査読

    S. Kubota, M. Watanabe

    MICROOPTICS CONFERENCE   H-47   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Holographic polymer-dispersed liquid crystal memory for optically reconfigurable gate array using subwavelength grating mask 査読

    OGIWARA Akifumi, WATANABE Minoru, MABUCHI Takayuki, KOBAYASHI Fuminori

    APPLIED OPTICS   50 ( 34 )   6369 - 6376   2011年11月

  • Robust holographic storage system design 査読

    Takahiro Watanabe, Minoru Watanabe

    OPTICS EXPRESS   19 ( 24 )   24147 - 24158   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Demand is increasing daily for large data storage systems that are useful for applications in spacecraft, space satellites, and space robots, which are all exposed to radiation-rich space environment. As candidates for use in space embedded systems, holographic storage systems are promising because they can easily provided the demanded large-storage capability. Particularly, holographic storage systems, which have no rotation mechanism, are demanded because they are virtually maintenance-free. Although a holographic memory itself is an extremely robust device even in a space radiation environment, its associated lasers and drive circuit devices are vulnerable. Such vulnerabilities sometimes engendered severe problems that prevent reading of all contents of the holographic memory, which is a turn-off failure mode of a laser array. This paper therefore presents a proposal for a recovery method for the turn-off failure mode of a laser array on a holographic storage system, and describes results of an experimental demonstration. (C) 2011 Optical Society of America

    DOI: 10.1364/OE.19.024147

    Web of Science

    researchmap

  • Triple module redundancy scheme on an optically reconfigurable gate array 査読

    Y. Torigai, M. Watanabe

    International SoC Design Conference   250 - 253   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    DOI: 10.1109/ISOCC.2011.6138757

    researchmap

  • Multi-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory 査読

    R. Moriwaki, M. Watanabe, A. Ogiwara, F. Kobayashi

    MICROOPTICS CONFERENCE   1 - 2   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Holographic memory formed by multi-context recording for optically reconfigurable gate array 査読

    A. Ogiwara, M. Watanabe, F. Kobayashi

    17th Microopics Conference (MOC)   1 - 2   2011年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Dependable optically reconfigurable gate array with a phase-modulation type holographic memory 査読

    Takahiro Watanabe, Minoru Watanabe

    2011 21st International Conference on Field Programmable Logic and Applications   34 - 37   2011年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array. An ORGA's programmable gate array can be reconfigured at nanosecond-order, with more than 100 reconfiguration contexts. In addition to that beneficial feature, since ORGAs can be reconfigured with invalid configuration data that have been damaged by high-energy charged particles in a radiation-rich space environment, ORGAs are suitable for space applications. The robust capability of ORGAs with an amplitude modulation type holographic memory has already been demonstrated, but an ORGA with a phase-modulation type holographic memory that can achieve more robust capability has never been reported. Therefore, this paper presents a proposal of a new dependable ORGA architecture based on a phase-modulation type of holographic memory. In addition, this paper describes experimental clarification through a demonstration that the dependable ORGA is more robust than conventional ORGAs with an amplitude modulation type holographic memory. © 2011 IEEE .

    DOI: 10.1109/FPL.2011.17

    Scopus

    researchmap

  • A Four-Context Programmable Optically Reconfigurable Gate Array With a Reflective Silver-Halide Holographic Memory 査読

    Shinya Kubota, Minoru Watanabe

    IEEE PHOTONICS JOURNAL   3 ( 4 )   665 - 675   2011年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    This paper presents a proposal for a four-context programmable optically reconfigurable gate array (PORGA) with a high-resolution reflective silver-halide holographic memory and a corresponding writer system. The PORGA demonstrates the capability of 4.6-11.5-mu s high-speed configuration context switching among four configuration contexts, which is 1000 times faster than that of conventional field programmable gate arrays (FPGAs).

    DOI: 10.1109/JPHOT.2011.2160335

    Web of Science

    researchmap

  • Novel dynamic module multiple redundancy for optically reconfigurable gate arrays

    Minoru Watanabe

    IEEE International Midwest Symposium on Circuits & Systems   2011年8月

     詳細を見る

    記述言語:英語  

    researchmap

  • Dynamic reconfiguration on a dynamically reconfigurable vision-chip architecture 査読

    A. Gundjalam, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   2011年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A MEMS writer system embedded for a programmable optically reconfigurable gate array 査読

    S. Kubota, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   130 - 135   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory 査読

    Takayuki Mahuchi, Minoru Watanabe, Akifumi Ogiwara, Fuminori Kobayashi

    Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2011   44 - 49   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of high-fault-tolerance multi-context field programmable gate array for space applications under radiation-rich environments. However, although many configuration contexts can be stored on an ORGA device and can be implemented on its gate array dynamically in an extremely short time, several laser sources are necessary to address the configuration contexts. Since such lasers are always expensive and because such devices are easily damaged by surge current, reducing the number of lasers is an important factor. Therefore, this paper presents a proposal of a new optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory. Under the ORGA, all configuration contexts can be addressed by half the number of lasers as there are reconfiguration contexts. This paper presents demonstration results of the optically re-configurable gate array obtained using a polymer-dispersed liquid crystal holographic memory. © 2011 IEEE.

    DOI: 10.1109/AHS.2011.5963965

    Scopus

    researchmap

  • Parallel template matching operations on a dynamically reconfigurable vision-chip architecture 査読

    Hironari Nakada, Minora Watanabe, Shoji Kawahito

    2011 IEEE 9th International New Circuits and Systems Conference, NEWCAS 2011   205 - 208   2011年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Recently, high-speed image recognition functionality that is superior to the image recognition speed of the human eye is demanded for autonomous vehicles and robots. Currently, such embedded systems comprise a processor and memory. To recognize many images, many template images must be stored in memory and must be sent quickly from memory to the processor. For example, assuming that the system receives an external image with 1 million pixels at every 1 ms and assuming that the system must execute template-matching operations of 1 million template images with the same million pixels within 1 ms, then the transfer speed from the memory to the processor and the processor operation reaches 1 Petapixel/s. Therefore, to realize high-speed template-matching operation, a dynamically reconfigurable vision-chip architecture with a holographic memory and large-bandwidth optical connections has been proposed. Among such researches, this paper presents a proposal of novel parallel template-matching operations performed on the dynamically reconfigurable vision-chip architecture. Furthermore, the advantages of the new method are discussed based on some demonstration results. © 2011 IEEE.

    DOI: 10.1109/NEWCAS.2011.5981291

    Scopus

    researchmap

  • A 16-laser array for an optically reconfigurable gate array 査読

    Takahiro Watanabe, Minoru Watanabe

    2011 International Conference on Space Optical Systems and Applications, ICSOS'11   255 - 260   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Demand is increasing daily for a robust VLSI chip that is useful for operating under a radiation-rich space environment, such as spacecraft, space satellites, and space stations. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. This paper presents a robust 16-laser array for an optically reconfigurable gate array and its demonstration results. © 2011 IEEE.

    DOI: 10.1109/ICSOS.2011.5783679

    Scopus

    researchmap

  • A configuration-speed acceleration method for a sequential circuit using a negative logic implementation 査読

    Retsu Moriwaki, Minoru Watanabe

    2011 International Conference on Space Optical Systems and Applications, ICSOS'11   213 - 217   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    An optically reconfigurable gate array (ORGA) was developed recently as one multi-context device to achieve high-speed reconfiguration. Since quick context switching allows implementation of many functions onto a gate array without idle time, fast reconfiguration is extremely important for multi-context devices. In ORGAs, the easiest way to increase the reconfiguration frequency is to use high-power lasers, but such lasers increase the ORGA power consumption and package size. In some cases, they might even require a cooling system. For that reason, this paper presents a configuration speed acceleration method for a sequential circuit using a negative logic implementation without ORGA architecture modification and without any increase of laser power. Based on experimentally obtained results, this paper clarifies the acceleration method's effectiveness. © 2011 IEEE.

    DOI: 10.1109/ICSOS.2011.5783670

    Scopus

    researchmap

  • Fast Optical Reconfiguration of a Nine-Context DORGA Using a Speed Adjustment Control 査読

    Mao Nakajima, Minoru Watanabe

    ACM TRANSACTIONS ON RECONFIGURABLE TECHNOLOGY AND SYSTEMS   4 ( 2 )   2011年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits. Such dynamic reconfiguration requires two important features: fast reconfiguration and numerous reconfiguration contexts. However, fast reconfiguration and numerous reconfiguration contexts share a trade-off relation on current VLSIs. Therefore, Optically Reconfigurable Gate Arrays (ORGAs) have been developed to resolve this dilemma. An ORGA architecture allows many configuration contexts by exploiting the large storage capacity of a holographic memory and fast reconfiguration using wide-bandwidth optical connections between a holographic memory and a programmable gate array VLSI. In addition, Dynamic Optically Reconfigurable Gate Arrays (DORGAs) using a photodiode memory architecture have already been developed to realize a high-gate-density VLSI. Therefore, this article presents the first demonstration of a nanosecond-order configuration of a nine-context DORGA architecture. Moreover, this article presents a proposal of a reconfiguration period adjustment technique to control each reconfiguration period to its best setting.

    DOI: 10.1145/1968502.1968506

    Web of Science

    researchmap

  • Reduction method of refresh cycles for a dynamic optically reconfigurable gate array 査読

    Y. Aoyama, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   2011年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Programming Options for an Optical FPGA with Clockwise Dynamic Reconfigurability 査読

    F. Matsusaki, F. Kobayashi, A. Nagino, M. Watanabe

    International Conference on Innovative Computing and Communication and Asia-Pacific Conference on Information Technology and Ocean Engineering   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • MEMS Interleaving Read Operation of a Holographic Memory for Optically Reconfigurable Gate Arrays 査読

    Hironobu Morita, Minoru Watanabe

    RECONFIGURABLE COMPUTING: ARCHITECTURES, TOOLS AND APPLICATIONS   6578   242 - 252   2011年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:SPRINGER-VERLAG BERLIN  

    Optically reconfigurable gate array (ORGAs) were developed to realize next-generation large-virtual gate count programmable VLSIs. An ORGA consists of an ORGA-VLSI, a holographic memory, and a laser array, which is used for addressing the holographic memory. Since many configuration contexts can be stored on a volume-type holographic memory, the corresponding number of lasers must he implemented on an ORGA. However, a laser array with numerous lasers is always expensive. Therefore, to accommodate numerous configuration contexts with fewer lasers, this paper presents a novel method using an interleaving read operation of a holographic memory for ORGAs. This method can provide an addressing capability of a billion configuration contexts along with a nanosecond-order high-speed configuration capability.

    DOI: 10.1007/978-3-642-19475-7_25

    Web of Science

    researchmap

  • A 144-configuration context MEMS optically reconfigurable gate array 査読

    Yuichiro Yamaji, Minoru Watanabe

    2011 IEEE INTERNATIONAL SOC CONFERENCE (SOCC)   237 - 241   2011年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Demand for space uses of FPGAs is increasing to support hardware repair and hardware update functions in addition to software repair and update functions in spacecraft, satellites, space stations, and other applications. However, under a space radiation environment, the incidence of high-energy charged particles causes single or multi-event latch-up (S/MEL)-associated troubles and single or multi-event upset (S/MEU)-associated temporary failures. Although an FPGA, because of its programmability, presents the advantageous capabilities of recovering from and updating after S/MEL-associated troubles, the FPGA can not guard itself completely from S/MEU-associated temporary failures that might arise on its configuration SRAM. This paper therefore presents a proposal for a 144-configuration context MEMS optically reconfigurable gate array that can support a remotely updatable hardware function, can quickly repair S/MEL-associated permanent failures, and can perfectly guard itself from S/MEU-associated temporary failures that can occur in a space radiation environment.

    DOI: 10.1109/SOCC.2011.6085083

    Web of Science

    researchmap

  • A 64-context MEMS optically reconfigurable gate array 査読

    Yuichiro Yamaji, Minoru Watanabe

    2010 International Conference on Field-Programmable Technology   499 - 502   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Demand for use of FPGAs in space is increasing to support hardware repair and hardware update functions in addition to software repair and update functions in spacecraft, satellites, space stations, and other applications. Under a space radiation environment, embedded devices must allow for incidence of high-energy charged particles. Such incidence can cause single or multi-event latch-up (S/MEL)-associated troubles and single or multi-event upset (S/MEU)-associated temporary failures. Although an FPGA, because of its programmability, presents the advantageous capabilities of recovering from and updating after S/MEL-associated troubles, the FPGA can not guard itself completely from S/MEU-associated temporary failures that might arise on its configuration SRAM. This paper therefore presents a proposal for a 64-context MEMS optically reconfigurable gate array that can support a remotely updatable hardware function, can quickly repair S/MEL-associated permanent failures, and can perfectly guard itself from S/MEU-associated temporary failures that can occur in a space radiation environment. © 2010 IEEE.

    DOI: 10.1109/FPT.2010.5681467

    Scopus

    researchmap

  • Fiber remote configuration for an optically reconfigurable gate array with four configuration contexts 査読

    Yumiko Ueno, Minoru Watanabe

    OPTICS COMMUNICATIONS   283 ( 23 )   4614 - 4618   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ELSEVIER SCIENCE BV  

    A function enabling remote programming is necessary for systems used with nuclear power plants, high-energy physics experiments, and other plants with no personnel Recently, optically reconfigurable gate array (ORGA) architecture has been developed to achieve a huge virtual gate count that is much greater than those of currently available VLSIs However, ORGA architectures have never supported a remote programming capability since a complicated holographic writer system is required along with an ORGA for that purpose. This paper therefore presents a proposal of a new remotely reconfigurable gate array architecture with four configuration contexts that enable remote reconfiguration using optical fiber networks Furthermore, this paper presents discussion of the availability of this architecture and plans based on the experimental results (C) 2010 Elsevier B V All rights reserved

    DOI: 10.1016/j.optcom.2010.06.090

    Web of Science

    CiNii Article

    researchmap

  • Dynamic optically reconfigurable gate array very large-scale integration with partial reconfiguration capabilit 査読

    D. Seto, M. Nakajima, M. Watanabe

    Applied Optics   49 ( 36 )   6986 - 6994   2010年12月

  • Dynamic optically reconfigurable gate array very large-scale integration with partial reconfiguration capability 査読

    Daisaku Seto, Mao Nakajima, Minoru Watanabe

    APPLIED OPTICS   49 ( 36 )   6986 - 6994   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    We present a proposal of a partial reconfiguration architecture for optically reconfigurable gate arrays and present an 11,424 gate dynamic optically reconfigurable gate array VLSI chip that was fabricated on a 96.04 mm(2) chip using an 0.35 mu m three-metal complementary metal oxide semiconductor process technology. The fabricated VLSI chip achieved a 2.21 mu s partial reconfiguration. (C) 2010 Optical Society of America

    Web of Science

    researchmap

  • Multi-context programmable optically reconfigurable gate array using a silver-halide holographic memory 査読

    Shinya Kubota, Minoru Watanabe

    2010 IEEE/SICE International Symposium on System Integration: SI International 2010 - The 3rd Symposium on System Integration, SII 2010, Proceedings   431 - 435   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, as one dynamic reconfigurable device, optically reconfigurable gate arrays (ORGAs) that consist of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve greater than 1 Teragate virtual integration, which is much greater than the integration that is possible using currently available VLSIs. If the ORGA can be used, a large software system can be implemented directly as hardware so that a large real-time system can be realized. Currently, a programmable ORGA architecture has been proposed to support user programmability. This paper presents the demonstration result of a multi-context programmable ORGA using a silver-halide non-volatile holographic memory and a corresponding writer system. ©2010 IEEE.

    DOI: 10.1109/SII.2010.5708364

    Scopus

    researchmap

  • Othello solver based on a soft-core MIMD processor array 査読

    Takayuki Mabuchi, Takahiro Watanabe, Retsu Moriwaki, Yuji Aoyama, Amarjargal Gundjalam, Yuichiro Yamaji, Hironari Nakada, Minoru Watanabe

    2010 International Conference on Field-Programmable Technology   511 - 514   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This report presents an Othello Solver based on a 32-bit original soft-core Multiple Instruction stream, Multiple Data stream (MIMD) processor array targeting a single field programmable gate array (FPGA), Cyclone II (EP2C70D896C6N), on a DE2 Development and Education Board (Altera Corp.). The solver can execute a move-checking operation, a disc flipping operation, a move selection operation, an evaluation operation, and an alpha-beta pruning operation. The solver system includes a universal asynchronous receiver transmitter (UART) inside the FPGA and uses a RS-232C driver on the board so that the solver system can communicate with a personal computer or another FPGA according to the 2010 International Conference on Field Programmable Technology (FPT) competition specifications. The solver can win all skill levels of a target software provided from the FPT conference within the time limit of 1/40 s. This report presents estimates the solver's performance based on the implementation results. © 2010 IEEE.

    DOI: 10.1109/FPT.2010.5681470

    Scopus

    researchmap

  • Background light effect of a dynamically reconfigurable vision-chip architecture 査読

    Retsu Moriwaki, Minoru Watanabe

    2010 IEEE/SICE International Symposium on System Integration: SI International 2010 - The 3rd Symposium on System Integration, SII 2010, Proceedings   426 - 430   2010年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, demands for implementation of a high-speed image recognition function onto autonomous vehicles and robots, that is superior to that of the human eye, have been increasing. To date, analog-type vision chips and digital vision chips have been developed. Nevertheless, even now, realizing such high-speed real-time image recognition operation is extremely difficult because the template information transfer rate and template matching operation cycle reach the order of Petapixel/s. Therefore, to accommodate template matching operations that can be executed at rates greater than Petapixel/s, a dynamically reconfigurable vision-chip architecture has been developed in which a holographic memory technique is introduced to current VLSI technology. However, the dynamically reconfigurable vision-chip architecture must receive image information in addition to configuration context information. At such a time, a salient concern is that image information light might reduce the retention time of photodiode memories on a dynamically reconfigurable vision-chip. This paper therefore clarifies that the background light does not affect the photodiode memories on a dynamically reconfigurable vision-chip architecture. ©2010 IEEE.

    DOI: 10.1109/SII.2010.5708363

    Scopus

    researchmap

  • A retention time improvement method for a MEMS dynamic optically reconfigurable gate array 査読

    Hironobu Morita, Minoru Watanabe

    2010 International Symposium on Micro-NanoMechatronics and Human Science: From Micro and Nano Scale Systems to Robotics and Mechatronics Systems, MHS 2010, Micro-Nano GCOE 2010, Bio-Manipulation 2010   257 - 261   2010年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    To date, optically reconfigurable gate arrays (ORGAs) have been developed to address high-speed operations. During that development, a MEMS dynamic optically reconfigurable gate array architecture was proposed: it perfectly removes the static configuration memory to store a context and uses junction capacitances of photodiodes as dynamic configuration memory to realize high-gate count ORGA-VLSI. However, this architecture presents the issue that retention time of programmed circuits on a gate array is, just like DRAMs, not infinite. Since the circuit reconfiguration frequency is always superior to the refresh cycle frequency, the refresh cycle problem need not be considered. However, circuits with a long lifetime exist among the many implementation circuits. For these circuits, many refresh cycles must be required continuously. Therefore, This paper presents a proposal of a retention time improvement method by adjusting the threshold level in the holographic memory calculation. ©2010 IEEE.

    DOI: 10.1109/MHS.2010.5669547

    Scopus

    researchmap

  • High-speed fiber-linked remote reconfiguration 査読

    Yumiko Ueno, Minoru Watanabe

    TENCON 2010 - 2010 IEEE Region 10 Conference   1203 - 1206   2010年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, fiber networks and undersea fiber optic cables have become widely used throughout the world. Because of its much lower attenuation and interference, optical fiber is superior to copper wires for long-distance applications. Such fibers' transfer rates and distances reach GHz-order and over 100 km. Up to now, a remote configurable FPGA system using current fiber networks was reported. However, since its configuration speed was very low, dynamic highspeed reconfiguration was impossible. This paper therefore presents a high-speed remote reconfiguration experiment using a bundle of fiber networks and an optically reconfigurable gate array. Such architecture presents the possibility of a remote high-speed dynamic reconfigurable hardware system.

    DOI: 10.1109/TENCON.2010.5686374

    Web of Science

    researchmap

  • Template matching operations on a dynamically reconfigurable vision-chip architecture 査読

    Hironari Nakada, Minoru Watanabe

    2010 10th International Symposium on Communications and Information Technologies   1091 - 1096   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Recently, for autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. To date, analog-type vision chips and digital vision chips have been developed. Nevertheless, even now, to realize such high-speed real-time image recognition operation is extremely difficult. Therefore, to realize a high-speed real-time image-recognizable vision chip, this paper experimentally presents a template matching operations on a dynamically reconfigurable vision-chip architecture. ©2010 IEEE.

    DOI: 10.1109/ISCIT.2010.5665152

    Scopus

    researchmap

  • Formation of holographic memory using subwavelength grating mask for optically reconfigurable gate array 査読

    A. Ogiwara, M. Watanabe, T. Mabuchi, F. Kobayashi

    MICROOPTICS CONFERENCE   108 - 109   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Development of optically reconfigurable gate arrays

    Minoru Watanabe

    International Symposium on Optical Memory   188 - 189   2010年10月

     詳細を見る

    記述言語:英語  

    researchmap

  • Fault tolerance of a holographic storage system 査読

    Takahiro Watanabe, Minoru Watanabe

    2010 10th International Symposium on Communications and Information Technologies   1126 - 1130   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Demand is increasing daily for large storage systems that are useful for devices operating under a radiation-rich space environment, such as spacecraft, space satellites, and space robots. A holographic storage system, which can easily provide large storage capacity, is a candidate for space embedded systems. The holographic storage system, which requires no rotation mechanism, usually consists of a holographic memory material, a laser array, and a photodiode array. Although a holographic memory itself is an extremely robust device for use in a space radiation environment, its associated components are vulnerable to radiation. Such vulnerable devices can cause severe problems by preventing the reading of all holographic memory contents. This is a turn-off failure mode of a laser array. This paper therefore presents a recovery method for a turn-off failure mode of a laser array on a holographic storage system and presents results of its demonstration. ©2010 IEEE.

    DOI: 10.1109/ISCIT.2010.5665158

    Scopus

    researchmap

  • Recovery method for a laser array failure on Dynamic Optically Reconfigurable Gate Arrays 査読

    Daisaku Seto, Minoru Watanabe

    2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems   411 - 419   2010年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    Demand is increasing daily for a large-gate-count robust VLSI chip that can be used in a radiation-rich space environment. Since they exploit the large storage capacity of a holographic memory, optically reconfigurable gate arrays (ORGAs) have been developed to realize a much larger virtual gate count than those of current VLSI chips. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. Among such developments, dynamic optically reconfigurable gate arrays (DORGAs) have been developed to realize a high-gate-density VLSI using a photodiode memory architecture. Unfortunately, the DORGA architecture is more sensitive to the unallowable turn-off failure mode of a laser array. Therefore, this paper presents a recovery method for a turn-off failure mode of a laser array on a DORGA and its demonstration results.

    DOI: 10.1109/DFT.2010.55

    Web of Science

    researchmap

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory including six configuration contexts 査読

    S. Kubota, M. Watanabe

    International Conference on Solid State Devices and Materials   67 - 68   2010年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Estimation of characteristic variation of photodiodes and its compensation method in an optically reconfigurable gate array 査読

    Yuji Aoyama, Minoru Watanabe

    23rd IEEE International SOC Conference   243 - 247   2010年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize large virtual gates and to increase gate array performance. Since the performance of gate arrays in dynamically reconfigurable gate arrays can be increased as increasing its reconfiguration clock frequency, a high-speed reconfiguration capability is extremely important. However, the efficiency of a conventional optical configuration is not good because the optical configuration speed suffers from the photodiode characteristic variation, optical component variation, alignment errors, and holographic memory properties. This paper therefore presents a proposal of a compensation method using an analog configuration context that can improve the optical configuration efficiency. Based on the experimental results, this paper presents a discussion of its performance. © 2010 IEEE.

    DOI: 10.1109/SOCC.2010.5784753

    Scopus

    researchmap

  • Microelectromechanical Configuration of an Optically Reconfigurable Gate Array 査読

    Hironobu Morita, Minoru Watanabe

    IEEE JOURNAL OF QUANTUM ELECTRONICS   46 ( 9 )   1288 - 1294   2010年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    This paper presents a proposal of a novel optically reconfigurable gate array architecture with a microelectromechanical system (MEMS) mirror array that allows high-speed reconfiguration by exploiting large-bandwidth optical connections between the MEMS mirror array and a programmable gate array. The MEMS mirror array is used as a holographic memory. Four configuration contexts can be programmed electrically and dynamically onto the MEMS mirror array as holographic memory information. The configuration procedure is executed by switching both a laser array and an MEMS mirror array. This experiment demonstrated a four-context 146 ns microelectromechanical configuration for a programmable gate array. Submicrosecond configuration is attainable.

    DOI: 10.1109/JQE.2010.2047378

    Web of Science

    researchmap

  • Relationship between alignment errors of optical components and power consumption in optoelectronic devices 査読

    Hironobu Morita, Minoru Watanabe

    2010 IEEE CPMT Symposium Japan, ICSJ10   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Field Programmable Gate Arrays (FPGAs) have been used widely in recent years because of their flexible reconfiguration capabilities. Moreover, demand of high-speed reconfiguration for FPGAs has been increasing. However, since reconfiguration of FPGAs cannot be increased because of the serial transfer of configuration data, new optically reconfigurable gate arrays (ORGAs) have been proposed as an alternative device to achieve high-speed reconfiguration and numerous reconfiguration contexts. However, in the ORGAs, alignment errors affect the configuration power consumption. This paper presents the relation between alignment errors of optical components and power consumption in optoelectronic devices. ©2010 IEEE.

    DOI: 10.1109/CPMTSYMPJ.2010.5680217

    Scopus

    researchmap

  • Superimposing acceleration and optimization method of optical reconfiguration speed without any increase of laser power 査読

    Takayuki Mabuchi, Minoru Watanabe

    APPLIED OPTICS   49 ( 22 )   4120 - 4126   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    This paper proposes a method of superimposing acceleration and optimizing the optical reconfiguration speed while requiring no increase of laser power. Using this technique, the optical reconfiguration speed is increased by superimposing multiple configuration contexts. Simultaneously, optimization of the number of configuration contexts and reconfiguration speed is possible. A full four-context optically reconfigurable gate array system consisting of an optically reconfigurable gate array VLSI, an easily rewritable liquid crystal holographic memory, and four vertical-cavity surface-emitting lasers was constructed to demonstrate this method. This paper clarifies the method's benefits using experimental results obtained from the demonstration system. (C) 2010 Optical Society of America

    DOI: 10.1364/AO.49.004120

    Web of Science

    researchmap

  • Formation of holographic memory for defect tolerance in optically reconfigurable gate arrays 査読

    OGIWARA Akifumi, WATANABE Minoru, MABUCHI Takayuki, KOBAYASHI Fuminori

    APPLIED OPTICS   49 ( 22 )   4255 - 4261   2010年8月

     詳細を見る

  • A superimposing acceleration and optimization method of optical reconfiguration speed without any increase of laser power 査読

    MABUCHI Takayuki, WATANABE Minoru

    APPLIED OPTICS   49 ( 22 )   4120 - 4126   2010年8月

     詳細を見る

  • Formation of holographic memory for defect tolerance in optically reconfigurable gate arrays 査読

    Akifumi Ogiwara, Minoru Watanabe, Takayuki Mabuchi, Fuminori Kobayashi

    APPLIED OPTICS   49 ( 22 )   4255 - 4261   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Holographic polymer-dispersed liquid-crystal (HPDLC) memory using liquid-crystal composites is proposed for new optical information processing. Formation of HPDLC memory using a photomask is discussed for parallel programmability to realize fast reconfiguration of optically reconfigurable gate arrays (ORGAs). The defect tolerance of HPDLC memory is investigated to clarify the defect limitation of holographic configurations using ORGAs. Experimental results show that the noise ratio less than 15% applied to HPDLC memory rarely affects its diffraction pattern or a reconfiguration context. (C) 2010 Optical Society of America

    DOI: 10.1364/AO.49.004255

    Web of Science

    researchmap

  • Influence analysis of a holographic memory window of a programmable optically reconfigurable gate array 査読

    Shinya Kubota, Minoru Watanabe

    2010 53rd IEEE International Midwest Symposium on Circuits and Systems   913 - 916   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. However, comparison of conventional ORGAs with current field programmable gate arrays (FPGAs) reveals one important shortcoming: they are not reprogrammable after fabrication. To remove that shortcoming, a programmable ORGA (PORGA) has been proposed. However, a PORGA must implement a window to detect holographic memory patterns. A PORGA window might have scratches, fingerprints, or other defects that could occur while a PORGA is used. Even under such situations, a PORGA must be programmable. This paper therefore presents influence analysis of a holographic memory window of a PORGA. It has been demonstrated that even if a window of PORGA has scratches, fingerprint, or defects, a programming procedure can be executed correctly.

    DOI: 10.1109/MWSCAS.2010.5548776

    Web of Science

    researchmap

  • Excellent fault tolerance of a MEMS optically differential reconfigurable gate array 査読

    Hironobu Morita, Minoru Watanabe

    2010 International Conference on Optical MEMS and Nanophotonics, Optical MEMS and Nanophotonics 2010   133 - 134   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    (Figure Presented) This paper presents a four-context MEMS optically differential reconfigurable gate array that is useful in a space radiation environment. The technique enables rapid recovery of a programmable device that has been damaged by high-energy charged particles. It can use incorrect configuration data including some error bits resulting from damage by particles. This paper also clarifies the fault tolerance of the MEMS optically differential reconfigurable gate array. ©2010 IEEE.

    DOI: 10.1109/OMEMS.2010.5672149

    Scopus

    researchmap

  • Dynamically reconfigurable vision-chip architecture 査読

    Maki Yasuda, Minoru Watanabe

    2010 International Conference on Field Programmable Logic and Applications, FPL 2010   508 - 512   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Recently, for autonomous vehicles and robots, demand has been increasing for high-speed image recognition that is superior to that of the human eye. To date, analogtype vision chips and digital vision chips have been developed. Nevertheless, even now, to realize such high-speed real-time image recognition operation is extremely difficult. Therefore, to realize a high-speed real-time image-recognizable vision chip, this paper presents a proposal for a dynamically reconfigurable vision chip architecture. In addition, some experimental results are reported. © 2010 IEEE.

    DOI: 10.1109/FPL.2010.101

    Scopus

    researchmap

  • Binary MEMS optically reconfigurable gate array 査読

    Hironobu Morita, Minoru Watanabe

    Proceedings - 9th IEEE/ACIS International Conference on Computer and Information Science, ICIS 2010   63 - 68   2010年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Demand for high-speed dynamic reconfiguration for programmable devices has increased since such fast dynamic reconfiguration can increase the programmable gate array performance. To meet that demand, optically reconfigurable gate arrays (ORGAs) have been developed to achieve the fast dynamic reconfiguration. Among such studies, a MEMS ORGA has been developed. The reconfiguration can be executed not only by switching a laser array but also by switching a holographic memory. The first proposed MEMS ORGA took an analog fringe pattern for generating a configuration context, although the MEMS device is a binary spatial light modulator. The switching capability can therefore not be fully exploited from a MEMS device since a MEMS device requires PWM control for generating an analog fringe pattern. This paper presents a novel binary MEMS ORGA. The binary MEMS ORGA has achieved a 312 ns laser-reconfiguration and 22 μs holographic memory switching. © 2010 IEEE.

    DOI: 10.1109/ICIS.2010.89

    Scopus

    researchmap

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory 査読

    Shinya Kubota, Minoru Watanabe

    2010 15TH OPTOELECTRONICS AND COMMUNICATIONS CONFERENCE   370 - 371   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents a new programmable optically reconfigurable gate array using a silver-halide non-volatile holographic memory and its writer system. This device achieves high-speed reconfiguration, numerous reconfiguration contexts and user programmability.

    Web of Science

    researchmap

  • Partial block-by-block reconfiguration for a dynamic optically reconfigurable gate array 査読

    D. Seto, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   232 - 237   2010年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A four-context optically reconfigurable gate array using a laser array attachment 査読

    T. Mabuchi, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies   143 - 147   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Recovery method for a turn-off failure mode of a laser array on an ORGA 査読

    Daisaku Seto, Minoru Watanabe

    2010 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2010   235 - 240   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Demand for a large-gate-count robust VLSI chip that is usable in a radiation-rich space environment is increasing daily. Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. The ORGA architecture is extremely robust for many failure modes caused by high-energy charged particles. However, the ORGA has only an unallowable failure mode, which is a turn-off failure mode of a laser array. This paper therefore presents a proposal of a recovery method for a turn-off failure mode of a laser array on an ORGA and presents its demonstration results. © 2010 IEEE.

    DOI: 10.1109/AHS.2010.5546252

    Scopus

    researchmap

  • Acceleration method of optical reconfigurations using analog configuration contexts 査読

    Yuji Aoyama, Minoru Watanabe

    2010 NASA/ESA Conference on Adaptive Hardware and Systems, AHS 2010   304 - 308   2010年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Optically reconfigurable gate arrays (ORGAs) consisting of a holographic memory, a laser array, and a programmable gate array have been developed to realize large virtual gates and to increase a gate array's performance. To date, all ORGAs used binary values for optically applied configuration contexts. However, the energy efficiency is not good. Therefore, this paper presents a novel acceleration method of optical reconfigurations using analog configuration contexts. © 2010 IEEE.

    DOI: 10.1109/AHS.2010.5546242

    Scopus

    researchmap

  • A 100-context optically reconfigurable gate array 査読

    Mao Nakajima, Minoru Watanabe

    2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS   2884 - 2887   2010年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    To date, optically reconfigurable gate arrays (ORGAs) have been developed to realize large virtual gates and to increase gate array performance by combining a holographic memory with a programmable gate array. Among such studies, a 16-context ORGA architecture with nanosecond-order reconfiguration capability has already been demonstrated. However, its reconfiguration contexts are too few to execute large real-time operations. This paper therefore presents a proposal of a more advanced 100-context ORGA architecture in addition to related experimental results.

    DOI: 10.1109/ISCAS.2010.5536965

    Web of Science

    researchmap

  • Configuration power reduction effect of an ORGA with analog configuration contexts 査読

    Y. Aoyama, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   189   2010年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Power reduction method using negative logic implementation 査読

    R. Moriwaki, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   192   2010年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • MEMS Dynamic Optically Reconfigurable Gate Array Usable under a Space Radiation Environment 査読

    Daisaku Seto, Minoru Watanabe

    RECONFIGURABLE COMPUTING: ARCHITECTURES, TOOLS AND APPLICATIONS   5992   134 - 144   2010年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:SPRINGER-VERLAG BERLIN  

    Embedded devices used for spacecraft, satellites, and space stations are vulnerable to the effects of high-energy charged particles. To resolve single-event latch-up (SEL)-associated troubles more flexibly using limited hardware resources in a space environment, reconfigurable devices such as field programmable gate arrays (FPGAs) are suitable. However, such reconfigurable systems present the shortcoming that the circuit itself on the gate array is not robust. The configuration context on a configuration SRAM also suffers from single-event upsets (SEUs) and SELs. This paper therefore proposes an MEMS dynamic optically reconfigurable gate array that is usable under a space radiation environment. The technique enables rapid recovery of a programmable device that has been damaged by high-energy charged particles. It uses incorrect configuration data including some error bits that had been damaged by particles. The configuration data are transferred using wireless communications and are retained on an EEP-ROM/SRAM.

    DOI: 10.1007/978-3-642-12133-3_14

    Web of Science

    researchmap

  • Fiber remote configuration for a dynamic optically reconfigurable gate array 査読

    Yumiko Ueno, Minoru Watanabe

    2010 15TH OPTOELECTRONICS AND COMMUNICATIONS CONFERENCE (OECC)   Optoelectronics and Communications Conference   250 - 251   2010年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEICE-INST ELECTRONICS INFORMATION & COMMUNICATION ENGINEERS  

    This paper presents a new remotely reconfigurable gate array, based on a dynamic optically reconfigurable gate array architecture, which is intended for use in systems at nuclear power plants, plants with no personnel, and other systems.

    Web of Science

    researchmap

  • Optical buffering technique under a space radiation environment 査読

    Mao Nakajima, Minoru Watanabe

    OPTICS LETTERS   34 ( 23 )   3719 - 3721   2009年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    We propose an optical buffering technique for a programmable gate array under a space radiation environment. The technique allows rapid recovery of a programmable device that is damaged by high-energy charged particles. The technique uses invalid configuration data, including error bits that have already been damaged by the particles, while the configuration data are transferred using wireless communications and are retained on electronically erasable, programmable read-only, or static random-access-memory devices. (C) 2009 Optical Society of America

    DOI: 10.1364/OL.34.003719

    Web of Science

    PubMed

    CiNii Article

    researchmap

  • Formation of volume holographic memory using liquid-crystal composites for optically reconfigurable gate array 査読

    A. Ogiwara, Y. Ochi, M. Miyake, M. Watanabe, T. Mabuchi, F. Kobayashi

    15th MICROOPTICS CONFERENCE   194 - 195   2009年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A Four-Context Optically Differential Reconfigurable Gate Array 査読

    Mao Nakajima, Minoru Watanabe

    JOURNAL OF LIGHTWAVE TECHNOLOGY   27 ( 20 )   4460 - 4470   2009年10月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    Reconfiguration applications based on reconfigurable devices present new computational paradigms since, by increasing the reconfiguration frequency of reconfigurable devices, their activity and performance can be improved dramatically. Recently, Optically Reconfigurable Gate Arrays (ORGAs) with a holographic memory were developed. They realize rapid reconfigurations and numerous reconfiguration contexts. Furthermore, Optically Differential Reconfigurable Gate Arrays (ODRGAs) have been developed to accelerate optical reconfigurations of conventional ORGAs. However, fast configuration experiments under multiple contexts exploiting the ODRGA architecture have never been reported. Therefore, this paper presents a four-context ODRGA system and experimental results demonstrating its fast reconfiguration. The advantage of the ODRGA architecture is discussed based on those results.

    DOI: 10.1109/JLT.2009.2024173

    Web of Science

    researchmap

  • Scaling prospect of optically differential reconfigurable gate array VLSIs 査読

    Minoru Watanabe, Takenori Shiki, Fuminori Kobayashi

    ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING   60 ( 1-2 )   137 - 143   2009年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:SPRINGER  

    Dynamic reconfigurable devices present new computational paradigms because programmable devices' activity and performance can be improved dramatically by increasing its reconfiguration frequency. Therefore, this paper presents designs of optically differential reconfigurable gate array (ODRGA) VLSIs using 0.18 mu m and 0.35 mu m CMOS process technologies. Although they are a type of programmable gate array, they can be reconfigured optically in nanoseconds. This paper also discusses future scaling prospects of ODRGA-VLSIs.

    DOI: 10.1007/s10470-008-9210-9

    Web of Science

    researchmap

  • Inversion/Non-inversion Implementation for an 11,424 Gate-Count Dynamic Optically Reconfigurable Gate Array VLSI 査読

    Shinichi Kato, Minoru Watanabe

    Embedded Computer Systems: Architectures, Modeling, and Simulation   5657   139 - 148   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:SPRINGER-VERLAG BERLIN  

    To date, various optically reconfigurable gate arrays (ORGAs) have been developed to realize both fast reconfiguration and numerous reconfiguration contexts. Optically differential reconfigurable gate arrays (ODRGAs) present the advantageous capabilities compared with ORGAs: they have increased reconfiguration frequency per unit of laser power and reduced optical power consumption. Dynamic optically reconfigurable gate arrays (DORGA) can realize the highest gate density, but an important disadvantage of DORGAs is that their reconfiguration frequency is lower than that of ODRGAs and their optical power consumption is greater than that of ODRGAs. Therefore, a novel inversion/non-inversion dynamic optically reconfigurable gate array that adopts only the good factors from both architectures has been developed. This paper presents an inversion/non-inversion implementation for a fabricated 11,424 gate-count dynamic optically reconfigurable gate array VLSI. Based on that implementation, three factors are discussed: gate density, reconfiguration frequency per unit of laser power, and optical power consumption.

    DOI: 10.1007/978-3-642-03138-0_15

    Web of Science

    researchmap

  • A multi-context programmable optically reconfigurable gate array

    S. Kubota, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   305 - 306   2009年7月

     詳細を見る

    記述言語:英語  

    researchmap

  • Defect tolerance of an optically reconfigurable gate array with a one-time writable volume holographic memory 査読

    Takayuki Mabuchi, Kenji Miyashiro, Minoru Watanabe, Akifumi Ogiwara

    2009 NASA/ESA Conference on Adaptive Hardware and Systems   106 - 111   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array to realize fast reconfiguration and numerous reconfiguration contexts. Along with such advantages, ORGAs have high defect tolerance. They consist simply of a holographic memory, a laser diode array, and a gate array VLSI. Even if a gate array VLSI includes defective areas, the ORGAs capability of perfectly parallel programmability enables avoidance of those defective areas through alternative use of other non-defective areas. Moreover, a holographic memory to store contexts is known to have high defect tolerance because each bit of a reconfiguration context can be generated from the entire holographic memory. Consequently, damage of a holographic memory rarely affects its diffraction pattern or a reconfiguration context. For that reason, ORGAs are extremely robust against component defects in devices such as a laser array, a gate array, and a holographic memory, and are particularly useful for space applications, which require high reliability. This paper presents experimentation related to the defect tolerance of new optically reconfigurable gate array with a one-time easily writable volume holographic memory.

    DOI: 10.1109/AHS.2009.62

    Web of Science

    researchmap

  • A sixteen-context dynamic optically reconfigurable gate array 査読

    Mao Nakajima, Minoru Watanabe

    2009 NASA/ESA Conference on Adaptive Hardware and Systems   120 - 125   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits on a programmable device. Such dynamic reconfiguration necessitates two important features: fast reconfiguration and numerous contexts. However, because fast reconfiguration and numerous contexts share a tradeoff relation on current VLSIs, optically reconfigurable gate arrays (ORGAs) have been developed to resolve this dilemma. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Furthermore, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. Among such developments, we have been developing dynamic optically reconfigurable gate arrays (DOR-GAs) that realize a high gate density VLSI using a photodiode memory architecture. This paper presents the first demonstration of a 16-context DORGA architecture. Furthermore, we present experimental results: 530-833 ns reconfiguration times and 5-9.375 mu s retention times.

    DOI: 10.1109/AHS.2009.64

    Web of Science

    researchmap

  • Optically reconfigurable gate array with a one-time writable holographic memory 査読

    T. Mabuchi, K. Miyashiro, M. Watanabe, A. Ogiwara

    International Conference on engineering of reconfigurable systems and algorithms   307 - 308   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • Alignment compensation method for an optically reconfigurable gate array 査読

    H. Morita, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   332 - 333   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • A 16-context optically reconfigurable gate array 査読

    Mao Nakajima, Minoru Watanabe

    IEEE International Conference on Application-specific Systems, Architectures and Processors   227 - 230   2009年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of processors. Dynamic reconfiguration has two important prerequisites: fast reconfiguration and numerous reconfiguration contexts. Unfortunately, fast reconfigurations and numerous contexts share a tradeoff relation on current VLSIs. Therefore, optically reconfigurable gate arrays were developed to resolve this dilemma. Optically reconfigurable gate arrays can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Furthermore, optically reconfigurable gate arrays can realize rapid reconfiguration using large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. This paper presents the fastest 317-657 ns reconfiguration demonstration of a 16-context optically reconfigurable gate array architecture.

    DOI: 10.1109/ASAP.2009.41

    Web of Science

    researchmap

  • A programmable dynamic optically reconfigurable gate array

    Shinya Kubota, Minoru Watanabe

    2009 Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference   323 - 326   2009年6月

     詳細を見る

    掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    DOI: 10.1109/newcas.2009.5290410

    Web of Science

    researchmap

  • Fast reconfiguration experiments of an optically differential reconfigurable gate array with nine configuration contexts 査読

    Mao Nakajima, Minoru Watanabe

    ISCAS: 2009 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-5   2013 - 2016   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically differential reconfigurable gate arrays (ODRGAs) have been developed to achieve rapid reconfiguration and numerous reconfiguration contexts. Although fast reconfiguration experiments of a four-context ODRGA have been presented in earlier reports, the number of configuration contexts was insufficient for dynamic reconfiguration applications. Therefore, we have developed a more advanced nine-context ODRGA system. This paper presents fast 86.2 ns reconfiguration experiments using a nine-context ODRGA architecture and discusses plans for future work.

    DOI: 10.1109/ISCAS.2009.5118187

    Web of Science

    researchmap

  • A Nine-context Programmable Optically Reconfigurable Gate Array with Semiconductor Lasers 査読

    Shinya Kubota, Minoru Watanabe

    GLSVLSI 2009: PROCEEDINGS OF THE 2009 GREAT LAKES SYMPOSIUM ON VLSI   269 - 273   2009年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:ASSOC COMPUTING MACHINERY  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. Consequently, ORGAs with more than tera-gate capacity will be realized by exploiting the storage capacity of a holographic memory. However, compared with current field programmable gate arrays (FPGAs), conventional ORGAs have an important shortcoming: they are not reprogrammable after fabrication because, to reprogram ORGAs, a holographic memory must be disassembled from its ORGA package, reprogrammed outside of the ORGA package using a holographic memory writer, and then implemented onto the ORGA package with high precision beyond the capability of manual assembly. To remove that daunting problem, this paper presents a nine-context programmable ORGA and its writer. Furthermore, this paper presents discussion of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1145/1531542.1531606

    Web of Science

    researchmap

  • Dynamic optically reconfigurable gate array with high defect tolerance 査読

    D. Seto, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   171   171   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • Power reduction effect of an inversion/non-inversion dynamic optically reconfigurable gate array 査読

    S. Kato, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips   172   2009年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Fast Optical Reconfiguration of a Nine-Context DORGA 査読

    Mao Nakajima, Minoru Watanabe

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science   5453   123 - 132   2009年3月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:SPRINGER-VERLAG BERLIN  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits. Such dynamic reconfiguration requires two important features: fast reconfiguration and numerous contexts. However, fast reconfigurations and numerous contexts share a trade-off relation on current VLSIs. Therefore, optically reconfigurable gate arrays (ORGAs) have been developed to resolve this dilemma. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Also, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. Among such developments, we have been developing dynamic optically reconfigurable gate arrays (DORGAs) that realize a high gate density VLSI using a photodiode memory architecture. This paper presents the first demonstration of a nine-context DORGA architecture. Furthermore, this paper presents experimental results: 1.2-8.97 mu s reconfiguration times and 66-221 mu s retention times.

    DOI: 10.1007/978-3-642-00641-8_14

    Web of Science

    researchmap

  • An estimation of an inversion/non-inversion dynamic optically reconfigurable gate array VLSI 査読

    S. Kato, M. Watanabe

    International Conference on Space Optical Systems and Applications   ICSOS2009 - 26   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Configuration experiments for an optically reconfigurable gate array with a silver-halide holographic memory 査読

    M. Nakajima, M. Watanabe

    International Conference on Space Optical Systems and Applications   ICSOS2009 - 21   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Triple-module redundancy for an optically reconfigurable gate array 査読

    D. Seto, M. Watanabe

    International Conference on Space Optical Systems and Applications   ICSOS2009 - 27   2009年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • An 11,424 gate-count dynamic optically reconfigurable gate array with a photodiode memory architecture 査読

    Daisaku Seto, Minoru Watanabe

    2009 Asia and South Pacific Design Automation Conference   117 - 118   2009年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    The world's largest 11,424 gate-count dynamic optically reconfigurable gate array VLSI chip, which is based on the use of junction capacitance of photodiodes as configuration memory, has been fabricated. The size and process of the VLSI chip are, respectively, a 96.04 mm(2) and a 0.35 mu m-3 metal CMOS process technology. To clarify the availability of the VLSI, this paper shows an experimental result of a long retention time of its photodiode memory architecture.

    DOI: 10.1109/ASPDAC.2009.4796460

    Web of Science

    researchmap

  • An inversion/non-inversion dynamic optically reconfigurable gate array VLSI 査読

    M. Watanabe, M. Nakajima, S. Kato

    World Scientific and Engineering Academy and Society Transactions on Circuits and Systems   8 ( 1 )   11 - 20   2009年1月

     詳細を見る

    記述言語:英語  

    CiNii Article

    researchmap

  • Programmable Optically Reconfigurable Gate Array Architecture and its writer 査読

    KUBOTA Shinya, WATANABE Minoru

    APPLIED OPTICS   48 ( 2 )   302 - 308   2009年1月

     詳細を見る

  • Programmable optically reconfigurable gate array architecture and its writer 査読

    Shinya Kubota, Minoru Watanabe

    APPLIED OPTICS   48 ( 2 )   302 - 308   2009年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve huge virtual gate counts that vastly surpass those of currently available VLSIs. By exploiting the large storage capacity of a holographic memory, VLSIs with more than 1 teragate counts will be producible. However, compared with current field programmable gate arrays, conventional ORGAs have one important shortcoming: they cannot be reprogrammed after fabrication. To reprogram ORGAs, a holographic memory must be disassembled from its ORGA package, then reprogrammed outside of the ORGA package using a holographic memory writer. It must then be implemented onto the ORGA package with high precision techniques beyond that which can be provided by manual assembly. Therefore, to improve this shortcoming, this paper proposes what is believed to be the world's first programmable ORGA architecture with no disassembly. Finally, the availability of this architecture is discussed based on the experimental results. (C) 2009 Optical Society of America

    DOI: 10.1364/AO.48.000302

    Web of Science

    researchmap

  • Fault tolerance of a dynamic optically reconfigurable gate array with a one-time writable volume holographic memory 査読

    Takayuk Mabuchi, Kenji Miyashiro, Minoru Watanabe, Akifumi Ogiwara

    2009 52ND IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2   917 - +   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array to realize fast reconfiguration and numerous reconfiguration contexts. Along with such advantages, ORGAs have particularly high defect tolerance. They consist simply of a holographic memory, a laser diode array, and a gate array VLSI. Even if a gate array VLSI includes defective areas, the perfectly parallel programmable capability of ORGAs enables perfect avoidance of those defective areas through alternative use of other non-defective areas. Moreover, holographic memories to store contexts are known to have high defect tolerance because each bit of a reconfiguration context can be generated from the entire holographic memory. For those reasons, the damage of some part of the device rarely affects its diffraction pattern or a reconfiguration context. Consequently, ORGAs are very robust against component defects in devices such as a laser array, a gate array, and a holographic memory, and are particularly useful for space applications, which require high reliability. This paper presents experimental results of defect tolerance of a new dynamic optically reconfigurable gate array with a one-time easily writable holographic memory.

    DOI: 10.1109/MWSCAS.2009.5235916

    Web of Science

    researchmap

  • A multi-context programmable optically reconfigurable gate array without a beam splitter 査読

    Shinya Kubota, Minoru Watanabe

    2009 52ND IEEE INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2   971 - 974   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser array, have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. Consequently, exploitation of the storage capacity of a holographic memory produces ORGAs with more than tera-gate capacity. However, comparison of conventional ORGAs with current field programmable gate arrays (FPGAs) reveals one important shortcoming: they are not reprogrammable after fabrication: to reprogram an ORGA, a holographic memory must be disassembled from its ORGA package, reprogrammed outside of the ORGA package using a holographic memory writer, and again implemented onto the ORGA package with high precision beyond the capability of manual assembly. Therefore, to remove that shortcoming, a programmable ORGA has been proposed. However, since previously proposed programmable ORGA configurations used a beam splitter, its alignment was complicated. Furthermore, the alignments of lasers were also limited. This paper presents a multi-context programmable optically reconfigurable gate array that uses no beam splitter. Furthermore, this paper presents discussions of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1109/MWSCAS.2009.5235928

    Web of Science

    researchmap

  • MEMS OPTICALLY RECONFIGURABLE GATE ARRAY 査読

    Hironobu Morita, Minoru Watanabe

    FPL: 2009 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS   511 - 515   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits on its programmable gate array. Such dynamic reconfiguration requires two important features: fast reconfiguration and numerous contexts. However, fast reconfigurations and numerous contexts share a trade-off relation on current VLSIs. Therefore, optically reconfigurable gate arrays (ORGAs) have been developed to resolve this dilemma. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Also, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. This paper presents the world's first demonstration of an ORGA with micro electro mechanical system's (MEMS) holographic memory.

    DOI: 10.1109/FPL.2009.5272445

    Web of Science

    researchmap

  • 36-Context dynamic optically reconfigurable gate array 査読

    Mao Nakajima, Minoru Watanabe

    2009 IEEE/SICE International Symposium on System Integration: SI International 2008 - The 2nd Symposium on System Integration   19 - 23   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Optically reconfigurable gate arrays (ORGAs) have been developed for the purposes of realizing large virtual gates and increasing a gate array's performance by combining a holographic memory with a programmable gate array. In addition, dynamic optically reconfigurable gate arrays (DORGAs) using a photodiode memory architecture have been developed to realize a high-density VLSI. We have already demonstrated a 16-context DORGA architecture with a nanosecond-order reconfiguration capability. This paper presents a more advanced 36-context DORGA with performance that exceeds that of current dynamic reconfigurable devices. ©2009 IEEE.

    DOI: 10.1109/SI.2009.5384563

    Scopus

    researchmap

  • An optical configuration acceleration method using negative logic implementation 査読

    Retsu Moriwaki, Minoru Watanabe

    2009 INTERNATIONAL CONFERENCE ON EMERGING TRENDS IN ELECTRONIC AND PHOTONIC DEVICES AND SYSTEMS (ELECTRO-2009)   552 - 555   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Up to now, as one of multi-context devices, an optically reconfigurable gate array (ORGA) has been developed to achieve high-speed reconfiguration. Since quick context switching allows implementation of many functions onto a gate array without idle time, fast reconfiguration is extremely important for multi-context devices. In ORGAs, the easiest way to increase the reconfiguration frequency is to use high-power lasers. However, such high-power lasers increase power consumption and package size. In the worst case, they might require a cooling system. For that reason, this paper proposes a negative logic implementation method by which optical configurations can be accelerated without ORGA architecture modification and any increase of laser power. This time, the method was demonstrated on a dynamic optically reconfigurable gate array architecture. Based on the experimental results, this paper clarifies the acceleration method's effectiveness.

    DOI: 10.1109/ELECTRO.2009.5441043

    Web of Science

    researchmap

  • Fiber remote configuration for an optically reconfigurable gate array 査読

    Yumiko Ueno, Minoru Watanabe

    2009 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC 2009)   460 - 463   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A function enabling remote programming is necessary for systems at nuclear power plants, plants with no personnel, and other systems. Recently, an optically reconfigurable gate array (ORGA) architecture has been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. However, ORGA architectures have never realized a remote programming capability. Therefore, this paper proposes a new remotely reconfigurable gate array architecture that enables remote reconfiguration using optical fiber networks. Furthermore, this paper presents discussion of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1109/SOCDC.2009.5423925

    Web of Science

    researchmap

  • MEMS inversion/non-inversion dynamic optically reconfigurable gate array 査読

    Daisaku Seto, Minoru Watanabe

    2009 IEEE/SICE International Symposium on System Integration: SI International 2008 - The 2nd Symposium on System Integration   24 - 29   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    To date, for large system integrations, various optically reconfigurable gate arrays (ORGAs) have been developed to realize both fast reconfiguration and numerous reconfiguration contexts. Optically differential reconfigurable gate arrays (ODRGAs) present advantageous capabilities compared with ORGAs: they have increased reconfiguration frequency per unit of laser power and reduced optical power consumption. Dynamic optically reconfigurable gate arrays (DORGA) can realize the highest gate density. Nevertheless, an important disadvantage of DORGAs is that their reconfiguration frequency is lower than that of ODRGAs and their optical power consumption is greater than that of ODRGAs. Therefore, a novel inversion/non-inversion dynamic optically reconfigurable gate array incorporating only the good attributes from both architectures has been developed. This paper presents a novel inversion/non-inversion ORGA using a rewritable MEMS holographic memory. ©2009 IEEE.

    DOI: 10.1109/SI.2009.5384560

    Scopus

    researchmap

  • MEMS Optically Differential Reconfigurable Gate Array 査読

    Hironobu Morita, Minoru Watanabe

    2009 IEEE INTERNATIONAL CONFERENCE OF ELECTRON DEVICES AND SOLID-STATE CIRCUITS (EDSSC 2009)   119 - 122   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Demands for fast dynamic reconfiguration for programmable devices have increased because the fast dynamic reconfiguration can increase the number of functions on a gate array. This paper presents a proposal of a novel optically differential reconfigurable gate array architecture with a microelectromechanical system (MEMS) mirror array that enables high-speed reconfiguration by exploiting large-bandwidth optical connections between the MEMS mirror array and a programmable gate array. The MEMS mirror array is used as a holographic memory. Four configuration contexts can be programmed electrically and dynamically onto the MEMS mirror array as holographic memory information. A configuration procedure is executed by switching of both a laser array and a MEMS mirror array. This experiment has demonstrated a four-context 9 ns microelectromechanical reconfiguration for a programmable gate array.

    DOI: 10.1109/EDSSC.2009.5394174

    Web of Science

    researchmap

  • A 36-context optically reconfigurable gate array 査読

    Mao Nakajima, Minoru Watanabe

    2009 INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC 2009)   412 - 415   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Fast reconfiguration and numerous reconfiguration contexts are two important features of dynamically reconfigurable devices. However, realizing both is difficult in current dynamically reconfigurable devices. Therefore, optically reconfigurable gate arrays (ORGAs) have been under development to solve this problem. Actually, ORGAs can realize a large virtual gate count over current VLSIs by combining a holographic memory storing numerous reconfiguration contexts with an optically reconfigurable gate array VLSI. To date, a 16-configuration-context ORGA has been developed. This paper presents a more advanced demonstration of a 36-configuration-context ORGA architecture, which is larger than the number of reconfiguration contexts of current dynamically reconfigurable devices. The 36-configuration-context ORGA architecture achieved 52 ns - 1.65 mu s fast reconfiguration. In addition, this paper presents a discussion of some technical issues for increasing the number of configuration contexts of a multi-context ORGA and future vision, based on results of several experiments.

    DOI: 10.1109/SOCDC.2009.5423866

    Web of Science

    researchmap

  • A 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array 査読

    Mao Nakajima, Minoru Watanabe

    IIH-MSP 2009 - 2009 5th International Conference on Intelligent Information Hiding and Multimedia Signal Processing   852 - 855   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Reconfiguration applications based on reconfigurable devices present new computational paradigms because increasing the reconfiguration frequency of such devices can enhance their activity and performance dramatically. Recently, optically reconfigurable gate arrays (ORGAs) with a holographic memory were developed, achieving important new advances in the areas of rapid reconfiguration and numerous reconfiguration contexts. Furthermore, optically differential reconfigurable gate arrays have been developed to accelerate optical reconfigurations of conventional ORGAs. This paper presents experimental results of the fastest 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array, along with discussion of the advantages of optically differential reconfigurable gate array architecture. © 2009 IEEE.

    DOI: 10.1109/IIH-MSP.2009.250

    Scopus

    researchmap

  • Fault tolerance analysis of MEMS holographic memory for DORGAs 査読

    Daisaku Seto, Minoru Watanabe

    20th Anniversary MHS 2009 and Micro-Nano Global COE - 2009 International Symposium on Micro-NanoMechatronics and Human Science   33 - 37   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Demand for fast dynamic reconfiguration has increased since dynamic reconfiguration can accelerate the performance of implementation circuits on its programmable gate array. Such dynamic reconfiguration is dependent upon two important features: fast reconfiguration and numerous contexts. However, fast reconfiguration and numerous contexts share a tradeoff relation on current VLSIs. Therefore, optically recon-figurable gate arrays (ORGAs) have been developed to resolve this dilemma. Among studies of such devices, this paper presents a demonstration of a dynamic ORGA (DORGA) with a MEMS holographic memory and its fault tolerance analysis results. ©2009 IEEE.

    DOI: 10.1109/MHS.2009.5352102

    Scopus

    researchmap

  • A lens-less imaging holographic memory writer system for a programmable optically reconfigurable gate array 査読

    Shinya Kubota, Minoru Watanabe

    2009 INTERNATIONAL CONFERENCE ON EMERGING TRENDS IN ELECTRONIC AND PHOTONIC DEVICES AND SYSTEMS (ELECTRO-2009)   112 - 115   2009年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, optically reconfigurable gate arrays (OR-GAs) consisting of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve a huge virtual gate count that is much larger than those of currently available VLSIs. Consequently, ORGAs with more than tera-gate capacity will be realized by exploiting the storage capacity of a holographic memory. However, in contrast to current field-programmable gate arrays (FPGAs), conventional ORGAs have an important shortcoming: they are not reprogrammable after fabrication because, to reprogram ORGAs, a holographic memory must be disassembled from its ORGA package and reprogrammed outside of the ORGA package using a holographic memory writer. Then it must be implemented onto the ORGA package with high precision beyond the capability of manual assembly. To remove that problem, this paper presents a new programmable optically reconfigurable gate array and its lens-less imaging holographic memory writer system. Furthermore, this paper presents discussion of the availability of this architecture and future plans based on experimental results.

    DOI: 10.1109/ELECTRO.2009.5441161

    Web of Science

    researchmap

  • Allowable alignment errors of components in an optically reconfigurable gate array 査読

    H. Morita, M. Watanabe

    International Topical Meeting on Information Photonics   50 - 51   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • Multi-speed configuration for ORGAs 査読

    M. Nakajima, M. Watanabe

    International Topical Meeting on Information Photonics   166 - 167   2008年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Liquid crystal holographic configurations for ORGAs 査読

    YAMAGUCHI Naoki, WATANABE Minoru

    APPLIED OPTICS   47 ( 26 )   4692 - 4700   2008年10月

     詳細を見る

  • Liquid crystal holographic configurations for optically reconfigurable gate arrays 査読

    Naoki Yamaguchi, Minoru Watanabe

    APPLIED OPTICS   47 ( 26 )   4692 - 4700   2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:OPTICAL SOC AMER  

    An optically reconfigurable gate array (ORGA) system, which consists of an ORGA very large scale integration (VLSI), an easily rewritable liquid crystal holographic memory recording four configuration contexts, and a laser array, is proposed. Circuits on a gate array of the ORGA-VLSI can be programmed rapidly by exploiting large parallel connections between a holographic memory and a gate array VLSI; that programming can be executed even as it is being programmed. Consequently, the gate array can be switched from a certain circuit to another circuit instantaneously. We present a demonstration of the ORGA system and experimental results. (C) 2008 Optical Society of America

    Web of Science

    researchmap

  • Optical configuration using a silver-halide holographic memory including four configuration contexts 査読

    D. Seto, M. Watanabe

    International Conference on Solid State Devices and Materials   116 - 117   2008年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A 770ns holographic reconfiguration of a four-context DORGA 査読

    M. Nakajima, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   289 - 292   2008年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • MISC: Mono Instruction-Set Computer based on Dynamic Reconfiguration ? a 6502 Perspective- 査読

    F. Kobayashi, Y. Morikawa, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   222 - 228   2008年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A dynamic optically reconfigurable gate array - Perfect emulation 査読

    Daisaku Seto, Minoru Watanabe

    IEEE JOURNAL OF QUANTUM ELECTRONICS   44 ( 5-6 )   493 - 500   2008年5月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC  

    This paper presents a perfect dynamic optically reconfigurable gate array (DORGA) architecture emulation using a holographic memory and a conventional ORGA-VLSI. In ORGAs, although a large virtual gate count can be realized by exploiting the large-capacity storage capability of a holographic memory, the actual gate count, which is the gate count of a programmable gate array VLSI, is important to increase the instantaneous performance. Nevertheless, in previously proposed ORGA-VLSIs, the static configuration memory to store a single configuration context consumed a large implementation area of the ORGA-VLSIs and prevented the realization of large-gate-count ORGA-VLSIs. Therefore, a DORGA architecture has been proposed in order to increase the gate density. It uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. However, to date, demonstration of a perfect optically reconfigurable architecture for DORGA-VLSIs has never been presented. Therefore, in this study, the DORGA architecture was perfectly emulated, and the performance, particularly the reconfiguration context retention time, was measured experimentally. The advantages of this architecture are discussed in relation to the results.

    DOI: 10.1109/JQE.2008.916705

    Web of Science

    researchmap

  • A 937.5 ns multi-context holographic configuration with a 30-75 mu s retention time 査読

    Mao Nakajima, Daisaku Seto, Minoru Watanabe

    2008 IEEE INTERNATIONAL SYMPOSIUM ON PARALLEL & DISTRIBUTED PROCESSING, VOLS 1-8   3502 - 3507   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. However in ORGAs, although a large virtual gate count can be realized by exploiting the large capacity storage capability of a holographic memory, the actual gate count, which is the gate count of a programmable gate array VLSI, is still important to increase the instantaneous performance. Nevertheless, in previously proposed ORGA-VLSIs, the static configuration memory to store a single configuration context consumed a large implementation area of the ORGA-VLSIs and prevented the realization of large-gate-count ORGA-VLSIs. Therefore, to increase the gate density, a dynamic optically reconfigurable gate array (DORGA) architecture has been proposed. It uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. However to date, although only a 1.83-1.89 ms single-context holographic configuration and a retention time of 3.495.61 s of DORGA architecture have been confirmed, the performance at nanosecond-scale reconfiguration with a multi-context DORGA architecture has never been analyzed. Therefore, this paper presents the experimental result of a 937.5 ns multi-context holographic configuration and a 30.75 mu s retention time of DORGA architecture. The advantages of this architecture are discussed in relation to the results of this study.

    DOI: 10.1109/IPDPS.2008.4536539

    Web of Science

    researchmap

  • Dynamic holographic reconfiguration on a four-context ODRGA 査読

    Mao Nakajima, Minoru Watanabe

    2008 INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS   173 - 178   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Reconfiguration applications based on reconfigurable devices present new computational paradigms because, by increasing the reconfiguration frequency of reconfigurable devices, their activity and performance can be improved dramatically. Recently, optically reconfigurable gate arrays (ORGAs) with a holographic memory have been developed to realize rapid reconfigurations and numerous reconfiguration contexts. In addition, optically differential reconfigurable gate arrays (ODRGAs) have been developed to accelerate optical reconfigurations of conventional ORGAs. However fast configuration experiments under multiple contexts exploiting the ODRGA architecture have never been reported. Therefore, this paper presents a four-context ODRGA system and experimental results that elucidate aspects of fast reconfiguration. The advantage of the ODRGA architecture is discussed based on those results.

    DOI: 10.1109/ASAP.2008.4580174

    Web of Science

    researchmap

  • Inversion/non-inversion dynamic optically reconfigurable gate array 査読

    Minoru Watanabe, Mao Nakajima

    PROCEEDINGS OF THE 12TH WSEAS INTERNATIONAL CONFERENCE ON CIRCUITS   249 - +   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:WORLD SCIENTIFIC AND ENGINEERING ACAD AND SOC  

    Up to now, an optically differential reconfigurable gate array taking a differential reconfiguration strategy and a dynamic optically reconfigurable gate array taking a photodiode memory architecture have been proposed. The differential reconfiguration strategy can increase the reconfiguration frequency, with no increase in laser power, compared to other optically reconfigurable gate arrays, but the differential reconfiguration strategy can not achieve a high gate count VLSI because of the area occupied by static configuration memory. On the other hand, the dynamic optically reconfigurable gate array can achieve a high-gate count VLSI, but its configuration speed is slower than that of the optically differential reconfigurable gate array using equivalent laser power. Therefore, to realize both advantages of rapid configuration and a high gate count, this paper presents a novel inversion/non-inversion dynamic optically reconfigurable gate array that combines both architectures. In this study, the effectiveness of the inversion/non-inversion optical configuration method is clarified through some experiments.

    Web of Science

    researchmap

  • Inversion/non-inversion zero-overhead dynamic optically reconfigurable gate array VLSI 査読

    Shinichi Kato, Minoru Watanabe

    PROCEEDINGS OF THE 2008 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY   377 - 380   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents a novel inversion / non-inversion zero-overhead dynamic optically reconfigurable gate array that can extract good factors from architectures of both optically differential reconfigurable gate arrays and dynamic optically reconfigurable gate arrays. A full VLSI design using a 0.35 mu m CMOS process technology is presented. Based on that presentation, three factors are discussed: gate density; reconfiguration frequency per unit of laser power, optical power consumption.

    DOI: 10.1109/FPT.2008.4762422

    Web of Science

    researchmap

  • An 11,424-gate dynamic optically reconfigurable gate array VLSI 査読

    Mao Nakajima, Minoru Watanabe

    PROCEEDINGS OF THE 2008 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY   293 - 296   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A DORGA architecture has been proposed to increase gate density It uses the junction capacitance of photo-diodes as dynamic memory, thereby obviating the static configuration memory. This paper presents the world's largest 11,424 gate-count dynamic optically reconfigurable gale array (DORGA) VLSI fabricated on a 96.04 mm(2) chip using a 0.35 mu m three-metal CMOS process technology and a perfect optical system using a holographic memory. The advantages of this architecture arc, discussed in relation to the results described herein.

    Web of Science

    researchmap

  • An analog reconfiguration-period adjustment technique for optically reconfigurable gate arrays 査読

    Takayuki Mabuchi, Minoru Watanabe

    PROCEEDINGS OF THE 2008 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY   289 - 292   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    In previously proposed ORGAs, the optical reconfiguration period was designed to be constant by assuming a worst-case reconfiguration speed. However, the diffraction efficiency of a holographic memory differs depending on the number of bright bits included in a configuration context. Therefore, previous ORGAs can not fully exploit reconfiguration performance. For that reason, this paper presents a proposal for an analog reconfiguration-period adjustment technique for ORGAs to reduce each reconfiguration period. The advantages are then discussed herein based on experimental results obtained using an ORGA system on which the technique is adopted.

    DOI: 10.1109/FPT.2008.4762400

    Web of Science

    researchmap

  • An acceleration and optimization method for optical reconfiguration 査読

    Minoru Watanabe, Naoki Yamaguchi

    21ST INTERNATIONAL CONFERENCE ON VLSI DESIGN: HELD JOINTLY WITH THE 7TH INTERNATIONAL CONFERENCE ON EMBEDDED SYSTEMS, PROCEEDINGS   607 - 612   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    Optically Reconfigurable Gate Arrays (ORGAs), by exploiting the large storage capacity of holographic memory, offer the possibility of providing a virtual gate count that is much larger than those of currently available VLSI circuits. Because circuits implemented on a gate array must often be changed using virtual circuits stored in a holographic memory, rapid reconfiguration is necessary to reduce the reconfiguration overhead. A simple means to realize a short reconfiguration time in ORGAs is to implement a high-power laser array. However such an array presents the disadvantages of high power consumption, large implementation space, high cost, and so on. Therefore, this paper presents an acceleration method to increase ORGAs' reconfiguration frequency without the necessity for any increase of laser power This technique also includes optimization between the number of reconfiguration contexts and the reconfiguration frequency. The description in this paper clarifies the advantages using simulation and experimental results.

    DOI: 10.1109/VLSI.2008.26

    Web of Science

    researchmap

  • A dynamic optically reconfigurable gate array with a silver-halide holographic memory 査読

    Daisaku Seto, Minoru Watanabe

    Proceedings - IEEE Computer Society Annual Symposium on VLSI: Trends in VLSI Technology and Design, ISVLSI 2008   511 - 514   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    To increase gate density, a dynamic optically reconfig-urable gate array (DORGA) architecture has been proposed that uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. To date, estimation of the DORGA architecture using a liquid crystal holographic memory has been conducted, thereby demonstrating its availability. However, because the resolution of the liquid crystal holographic memory is very low and because the storable configuration contexts are numerically limited to four, that estimation cannot be considered a practical experiment. Therefore, this paper presents a practical demonstration of the DORGA architecture using a silver-halide holographic memory that can store over 3,000 configuration contexts. The DORGA architecture performance, in particular the reconfiguration context retention time, was analyzed experimentally. The advantages of this architecture are discussed in relation to the results of this study. ©2008 IEEE.

    DOI: 10.1109/ISVLSI.2008.94

    Scopus

    researchmap

  • Defect tolerance of holographic configurations in ORGAs 査読

    Kouji Shinohara, Minoru Watanabe

    2008 IEEE INTERNATIONAL SYMPOSIUM ON PARALLEL & DISTRIBUTED PROCESSING, VOLS 1-8   3488 - 3495   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed as a type of multi-context field programmable gate array to realize fast reconfiguration and numerous reconfiguration contexts. Along with such advantages, ORGAs have high defect tolerance. They consist simply of a holographic memory, a laser diode array, and a gate array VLSI. Even if a gate array VLSI includes defective areas, the perfectly parallel programmable capability of ORGAs enables perfect avoidance of those defective areas through alternative use of other non-defective areas. Moreover holographic memories to store contexts are known to have high defect tolerance because each bit of a reconfiguration context can be generated from the entire holographic memory. Moreover the damage of some fraction rarely affects its diffraction pattern or a reconfiguration context. Therefore, ORGAs are very robust against component defects in devices such as laser arrays, gate arrays, and holographic memory, and are particularly useful for space applications, which require high reliability. However, to date, the degree to which defects in a holographic memory affects holographic configurations has never been analyzed. Therefore, this paper describes analysis results of defect limitations of holographic configurations.

    DOI: 10.1109/IPDPS.2008.4536537

    Web of Science

    researchmap

  • An optical reconfiguration system with four contexts 査読

    Naoki Yamaguchi, Minoru Watanabe

    21ST INTERNATIONAL CONFERENCE ON VLSI DESIGN: HELD JOINTLY WITH THE 7TH INTERNATIONAL CONFERENCE ON EMBEDDED SYSTEMS, PROCEEDINGS   601 - 606   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. It is noteworthy that ORGA-VLSIs which can be reconfigured in nanoseconds without any overhead have already been fabricated. However to date, no multiholographic reconfiguration system that is suitable for such rapidly reconfigurable ORGA-VLSIs without any overhead has ever been developed. As the first step toward realizing such a device, a four-context optical system is demonstrated experimentally using a liquid crystal spatial light modulator and a He-Ne laser This paper describes those experimental results and plans for future work.

    DOI: 10.1109/VLSI.2008.27

    Web of Science

    researchmap

  • Programmable Optically Reconfigurable Gate Array Architecture using a PAL-SLM 査読

    Shinya Kubota, Minoru Watanabe

    2008 IEEE/SICE INTERNATIONAL SYMPOSIUM ON SYSTEM INTEGRATION   100 - 104   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, optically reconfigurable gate arrays (ORGAs) consisting of a gate array VLSI, a holographic memory, and a laser array have been developed to achieve huge virtual gate counts that is much larger than those of currently available VLSIs. Using ORGA architecture, greater than 1 tera gate count VLSIs are possible by exploiting the storage capacity of a holographic memory. Conventional ORGAs have only one shortcoming compared with current field programmable gate arrays (FPGAs) : they are not reprogrammable after their fabrication because, to reprogram ORGAs, a holographic memory must be disassembled from its ORGA package, reprogrammed outside of the ORGA package using a holographic memory writer, and implemented into the ORGA package with high precision beyond that available by manual assembly. To improve that shortcoming, this paper presents the world's first programmable ORGA architecture and experimental results. Furthermore, in light of those experimental results, this paper presents discussion of the availability of this architecture and future plans.

    DOI: 10.1109/SI.2008.4770434

    Web of Science

    researchmap

  • Optical configuration of an 11,424 gate-count dynamic optically reconfigurable gate array using a VCSEL 査読

    Daisaku Seto, Minoru Watanabe

    2008 IEEE/SICE INTERNATIONAL SYMPOSIUM ON SYSTEM INTEGRATION   95 - 99   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Recently, to realize large real-time systems, demands for fast computation on large VLSI have continued to increase. An optically reconfigurable gate array has been developed to realize large virtual gates. As part of that research effort, the world's largest 11,424 gate-count dynamic optically reconfigurable gate array VLSI chip, which is based on a concept using junction capacitance of photodiodes as configuration memory, has been fabricated. The size and process of the VLSI chip are, respectively, a 96.04 mm(2) and a 0.35 mu m-3 metal CMOS process technology. To clarify the availability of the VLSI, this paper presents an experimental result of along retention time of its photodiode memory architecture.

    DOI: 10.1109/SI.2008.4770433

    Web of Science

    researchmap

  • Analysis of retention time under multi-configuration on a DORGA 査読

    Daisaku Seto, Minoru Watanabe

    IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   131 - 134   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. Up to now, dynamic optically reconfigurable architecture has been proposed to increase the gate count of the ORGA-VLSI part, which uses photodiodes as dynamic memory to store a configuration context and perfectly removes static configuration memories. Consequently, extremely high gate count ORGAs have been realized. However, in this architecture, since background diffraction light of configuration contexts reduces the retention time of circuit information stored in junction capacitances of photodiodes, it has remained a concern that under multi-configuration, an optical configuration can reduce the retention time of other circuits that have already been programmed before the configuration and are functioning on a gate array. This paper clarifies that the dynamic optically reconfigurable architecture is effective even under multi-configuration.

    DOI: 10.1109/SOCC.2008.4641495

    Web of Science

    researchmap

  • A 9-context Optically Reconfigurable Gate Array 査読

    Takayuki Mabuchi, Minoru Watanabe

    ISOCC: 2008 INTERNATIONAL SOC DESIGN CONFERENCE, VOLS 1-3   1 - 4   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. Up to now, four-context ORGAs have been demonstrated. This paper presents the first 9-context ORGA architecture that uses spreading beams of Vertical Cavity Surface Emitting Lasers (VCSELs) with no lenses. This paper describes experimental results and plans for future work.

    DOI: 10.1109/SOCDC.2008.4815560

    Web of Science

    researchmap

  • A double or triple module redundancy model exploiting dynamic reconfigurations 査読

    Kouji Shinohara, Minoru Watanabe

    PROCEEDINGS OF THE 2008 NASA/ESA CONFERENCE ON ADAPTIVE HARDWARE AND SYSTEMS   114 - 121   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    Majority voting is a commonly used approach to increase system reliability. Standard triple-module-redundancy (TMR) methods are frequently used in space applications. Using these methods, triple modules and voting circuits are implemented onto an Application Specific Integrated Circuit (ASIC) or an FPGA. When a single event upset occurs, the voting circuit neglects the failure value of a module receiving the single event upset and takes a correct value of the other two modules not receiving it. However, the triple-module implementation requires a large implementation area on VLSIs. Therefore, to reduce the area of TMR implementation, this paper presents a novel double or triple module redundancy (DTMR) method for dynamically reconfigurable devices using a design example of a state machine. Furthermore, this paper presents experimental results of the method using a highly reliable optically reconfigurable gate array.

    DOI: 10.1109/AHS.2008.67

    Web of Science

    researchmap

  • Multi-optical configuration using spreading beams 査読

    Naoki Yamaguchi, Minoru Watanabe

    2008 51ST MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2   386 - 389   2008年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. For the laser diode array, a conventional ORGA always required collimated beams, necessitating the use of some lenses and its accurate alignment. Therefore, this paper presents the very compact and simple architecture of a multi-context ORGA that uses spreading beams of Vertical Cavity Surface Emitting Lasers (VCSELs) with no lenses. This paper describes experimental results of implementation of two configuration contexts and their reconfigurations, in addition to plans for future work.

    DOI: 10.1109/MWSCAS.2008.4616817

    Web of Science

    researchmap

  • Optimization of reconfiguration speed control bits for an Optically Reconfigurable Gate Array 査読

    M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms   2007年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • 272 gate count optically differential reconfigurable gate array VLSI 査読

    M. Watanabe, T. Shiki, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   2007年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Power Consumption Reduction Method of Dynamic Optically Reconfigurable Gate Array VLSIs 査読

    M. Watanabe, F. Kobayashi

    IEEE Symposium on Low-Power and High-Speed Chips   145   2007年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Superimposing technique of reconfiguration contexts 査読

    M. Watanabe, F. Kobayashi

    ACM/SIGDA International Symposium on Field Programmable Gate Arrays   227 - 228   2007年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • 時間領域フーリエ補間による非同期サンプリング・レート・コンバータ, 査読

    井上,小林, 渡邊実

    計測自動制御学会論文集   43 ( 2 )   145 - 152   2007年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Society of Instrument and Control Engineers  

    A time-domain SRC, sampling rate converter, using Fourier interpolation to achieve less gate count than in frequency domain is proposed. The new SRC is implemented in 1/150 of the circuit size of conventional SRC with moderate performance using filters. It can also be used as back-end for filter-type SRC to achieve high performance efficiently.

    DOI: 10.9746/ve.sicetr1965.43.145

    CiNii Article

    researchmap

  • Superimposing technique of reconfiguration contexts for increasing reconfiguration speed 査読

    M. Watanabe, F. Kobayashi

    Mobile Computing Hardware Architectures Design Symposium   2007年1月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • DORGA holographic memory architecture 査読

    Minoru Watanabe, Shoutarou Fukagawa, Fuminori Kobayashi

    2007 INTERNATIONAL CONFERENCE ON MICROELECTRONICS   222 - +   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. However, in ORGAs, although a large virtual gate count can be realized by exploiting a large capacity storage capability of a holographic memory, the actual gate count, which is the gate count of a programmable gate array VLSI, is stilt important to increase the instantaneous performance. In previously proposed ORGA-VLSIs, the static configuration memory to store a single configuration context occupied a large implementation area of the ORGA-VLSIs and thereby prevented the realization of large-gate-count ORGA-VLSIs. Therefore, to increase the gate density, a dynamic optically reconfigurable gate array (DORGA) architecture was proposed. It uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. This paper presents a perfect DORGA architecture including a holographic memory. The performance of the DORGA architecture, in particular the reconfiguration context retention time, was analyzed experimentally. The advantages of this architecture are discussed relative to the results of this study.

    DOI: 10.1109/ICM.2007.4497743

    Web of Science

    researchmap

  • Scaling rule of optically differential reconfigurable gate array VLSIs 査読

    Minoru Watanabe, Takenori Shiki, Fuminori Kobayashi

    2007 50TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3   101 - 104   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Dynamic reconfigurable devices present new computational paradigms because programmable devices' activity and performance can be improved dramatically merely by increasing the reconfiguration frequency. Therefore, the reconfiguration time and reconfiguration overhead of next-generation programmable devices are extremely important parameters. To realize zero-overhead and short reconfiguration, an Optically Differential Reconfigurable Gate Array (ODRGA) VLSIs were developed. However, up to now, the scaling rule of ODRGAs has never been clarified. This paper describes the designs of ODRGA-VLSIs using 0.18 mu m and 0.35 mu m CMOS processes and presents discussion of the scaling rule of ODRGAs using layout results.

    DOI: 10.1109/MWSCAS.2007.4488553

    Web of Science

    researchmap

  • A 62.5 ns holographic reconfiguration of an optically differential reconfigurable gate array 査読

    Mao Nakajima, Minoru Watanabe

    ICFPT 2007: INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY, PROCEEDINGS   297 - 300   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    To date, holographic configuration speeds have remained limited to 16 mu s because of issues related to the architecture of optically reconfigurable gate array VLSIs (ORGA-VLSIs). Therefore, to improve the issue, optically differential reconfigurable gate array VLSIs (ODRGA-VLSIs) have been developed and have achieved zero-overhead and nanosecond optical reconfiguration. Moreover the architecture of an ODRGA-VLSI has the advantage of accelerating the reconfiguration speed compared to that of other ORGAs. However, nanosecond holographic configurations and, in particular rapid holographic reconfiguration exploiting the advantages of ODRGA-VLSIs have not been reported. Therefore, this paper presents results of the world's fastest 62.5 ns holographic reconfiguration, exploiting advantages of the ODRGA-VLSI.

    DOI: 10.1109/FPT.2007.4439270

    Web of Science

    researchmap

  • An 11,424 gate-count zero-overhead dynamic optically reconfigurable gate array VLSI 査読

    Minoru Watanabe

    20TH ANNIVERSARY IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   75 - 78   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A zero-overhead dynamic optically reconfigurable gate array (ZO-DORGA), based on a concept using junction capacitance of photodiodes and load capacitance of gates constructing a gate array as configuration memory, has been proposed to realize both a high gate-count and zero-overhead rapid reconfiguration. This paper presents the world's largest 11,424 gate-count zero-overhead VLSI chip fabricated on a 96.04 mm(2) chip using 0.35 mu m-3 metal CMOS process technology. The optical reconfiguration circuit, the gate array structure, the CAD layout, and the performance of ZO-DORGA-VLSI are described, with reference to experimental results related to the reconfiguration period and retention time.

    DOI: 10.1109/SOCC.2007.4545430

    Web of Science

    researchmap

  • A 0.35um CMOS 1,632-gate-count zero-overhead dynamic optically reconfigurable gate array VLSI 査読

    Minoru Watanabe, Fuminori Kobayashi

    PROCEEDINGS OF THE ASP-DAC 2007   124 - +   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI (ZO-DORGA-VLSI) has been developed. It is based on a concept using junction capacitance of photodiodes and load capacitance of gates constructing a gate array as configuration memory and removing static memory function to store a context. In this paper, the performance of a 1,632 ZO-DORGA-VLSI, which was fabricated using a 0.35 mu m - 4.9 mm square CMOS process chip, is presented. In addition, the design of an over 10,000 ZO-DORGA-VLSI is presented.

    DOI: 10.1109/ASPDAC.2007.357972

    Web of Science

    researchmap

  • Optically differential reconfigurable gate array 査読

    Mototsugu Miyano, Minoru Watanabe, Fuminori Kobayashi

    ELECTRONICS AND COMMUNICATIONS IN JAPAN PART II-ELECTRONICS   90 ( 11 )   132 - 139   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:SCRIPTA TECHNICA-JOHN WILEY & SONS  

    Recent years have seen the development of optically reconfigurable gate arrays, which can be reconfigured using light at high speeds that cannot be implemented with electrical wiring. However, optically reconfigurable gate arrays have reconfiguration speeds of 16 to 20 mu s, which is fast compared to FPGAs (Field Programmable Gate Arrays), but high-speed reconfiguration, approaching the operating clock of a mounted circuit, has not been implemented. Also, partial reconfiguration, which is indispensable for frequent dynamic reconfiguration, has not been considered. The authors propose an Optically Differential Reconfigurable Gate Array (ODRGA), which can be reconfigured at high speeds close to the operating clock of a mounted circuit and which allows partial reconfiguration of any zone in bit units. This paper includes an overview of ODRGA and introduces the optically differential reconfigurable architecture, with which arbitrary, partial reconfiguration is possible, as well as the optically reconfigurable architecture enabling highspeed optical reconfiguration. Then, it is demonstrated that high-speed optical reconfiguration at 200 ns, the world's fastest system-level optical reconfiguration, is possible with an optical system using a pulse laser diode wherein nanosecond optical reconfiguration is possible with a prototype stand-alone VLSI chip. Finally, it is made clear that, in the future, improvement to the optical components will make possible nanosecond high-speed optical reconfiguration at the system level as well. (C) 2007 Wiley Periodicals, Inc.

    DOI: 10.1002/ecjb.20420

    Web of Science

    researchmap

  • Reconfiguration performance analysis of a dynamic optically reconfieurable gate array architecture 査読

    Daisaku Seto, Minoru Watanabe

    ICFPT 2007: INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY, PROCEEDINGS   265 - 268   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    To increase gate density, a dynamic optically reconfigurable gate array (DORGA) architecture has been proposed that uses the junction capacitance of photodiodes as dynamic memory, thereby obviating the static configuration memory. However, to date, estimation of a perfect optically reconfigurable architecture for DORGA-VLSIs has never been presented. This paper presents a perfect DORGA architecture including a holographic memory. The performances of the DORGA architecture, in particular the reconfiguration context retention time, were analyzed experimentally. The advantages of this architecture are discussed in relation to the results of this study.

    DOI: 10.1109/FPT.2007.4439262

    Web of Science

    researchmap

  • Reconfigurations of a dynamic optically reconfigurable architecture under a constant laser exposure 査読

    Minoru Watanabe, Daisaku Seto

    EDSSC: 2007 IEEE INTERNATIONAL CONFERENCE ON ELECTRON DEVICES AND SOLID-STATE CIRCUITS, VOLS 1 AND 2, PROCEEDINGS   405 - 408   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs) have been developed to realize a large virtual gate count by adding a holographic memory onto a programmable gate array VLSI. Up to now, we have proposed a dynamic optically reconfigurable architecture for ORGAs to increase the gate count of the VLSI part, which uses photodiodes as dynamic memory to store a configuration context and perfectly removes static configuration memory. In this architecture, suitable laser exposure time for each implementation circuit is different from each other. Nevertheless, the laser exposure time is always designed as a constant to simplify its optical reconfiguration architecture and procedure. So, the affect for retention time of implementation circuits under such the condition was concerned, however, which has never been analyzed. Therefore, this paper experimentally presents that the dynamic optically reconfigurable architecture is available under a constant laser exposure condition.

    DOI: 10.1109/EDSSC.2007.4450148

    Web of Science

    researchmap

  • A multi-context holographic memory recording system for Optically Reconfigurable Gate Arrays 査読

    Rio Miyazaki, Minoru Watanabe, Fuminori Kobayashi

    Proceedings - 21st International Parallel and Distributed Processing Symposium, IPDPS 2007; Abstracts and CD-ROM   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Optically Reconfigurable Gate Arrays (ORGAs) offer the possibility of providing a virtual gate count that is much larger than those of currently available VLSIs by exploiting the large storage capacity of a holographic memory. The first ORGA was developed to achieve rapid reconfiguration and a number of reconfiguration contexts
    it consisted of a gate array VLSI, a holographic memory, and a laser diode array. The ORGA achieved a 16 μs to 20 μs reconfiguration period that was faster than that of FPGAs, with 100 reconfiguration contexts. However, the ORGA requires the gate array to halt during reconfiguration. Therefore, the ORGA can not be reconfigured frequently because of the associated reconfiguration overhead. On the other hand, new ORGA-VLSIs that have less than 10 ns reconfiguration capability without any related overhead have already been fabricated. However, to date, a multi-holographic reconfiguration system that is suitable for such rapidly reconfigurable ORGA-VLSIs without any overhead has never been developed. For such realization, this paper proposes a four-context ORGA architecture and a multi-context holographic memory recording system used for it. In addition, experimentally demonstrated results of recording a holographic memory and reconfiguring an ORGA-VLSI are described. © 2007 IEEE.

    DOI: 10.1109/IPDPS.2007.370391

    Scopus

    researchmap

  • Holographic memory reconfigurable VLSI 査読

    Minoru Watanabe, Fuminori Kobayashi

    2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11   401 - 404   2007年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration for numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed by a laser diode array. It is noteworthy that ORGA-VLSIs that can be reconfigured in less than 10 ns without any overhead have already been fabricated. However, up to now, a multi-holographic reconfiguration system that is suitable for such fast-reconfigurable ORGA-NLSIs without any overhead has never been developed. As the first step toward such realization, a multi-context component is demonstrated experimentally using a liquid crystal spatial light modulator and a pulse laser This paper describes experimental results and plans for future work.

    DOI: 10.1109/ISCAS.2007.378474

    Web of Science

    researchmap

  • Manufacturing-defect tolerance analysis of optically reconfigurable gate arrays, 査読

    M. Watanabe, F. Kobayashi

    World Scientific and Engineering Academy and Society Transactions on Signal Processing   11 ( 2 )   1457 - 1464   2006年11月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    researchmap

  • 位相補間によるPLLの特性改善 査読

    井上,小林, 渡邊実

    計測自動制御学会論文集   42 ( 10 )   1175 - 1180   2006年10月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:The Society of Instrument and Control Engineers  

    Normal PLL (Phase Locked Loop) compares phases of reference and input at the time of their positive transition. We propose a new PLL using phase interpolation based on a counter with a high-frequency internal clock. The PLL can compare phases more than once a cycle of reference and input, thus reducing jitter and improving responsiveness. Also we optimize implementation of phase interpolation, to improve circuit size and maximum operating frequency, even if the circuit compares phases many time a cycle.

    DOI: 10.9746/sicetr1965.42.1175

    CiNii Article

    researchmap

  • A logic synthesis and place and route environment for ORGAs 査読

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   237 - 238   2006年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • 高密度ダイナミック光再構成型ゲートアレーVLSI 査読

    渡邊実, 小林

    電子情報通信学会論文誌   J89-D ( 6 )   1082 - 1090   2006年6月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:社団法人電子情報通信学会  

    近年,光による広いバンド幅を利用することで電気配線では実現できない高速な書込みと,光メモリにより大容量のコンテクストが実現可能なプログラマブル・ゲートアレー,光再構成型ゲートアレーの研究が進められている.しかし,これまでの光再構成型ゲートアレーでは,回路情報が静的なメモリ上に記憶されており,このメモリの実装部がゲートアレー部を圧迫し,光再構成型ゲートアレーの高密度化を妨げていた.そこで,回路情報を保持する静的なメモリを全廃し,受光に用いるフォトダイオードの接合容量をメモリとしても使用する新しいダイナミック光再構成型ゲートアレーが提案された.本論文では,まず,0.35μm-3層メタルのプロセスを使用したダイナミック光再構成型ゲートアレー試作チップの評価結果を示す.そして,同じプロセスを使用することで,14.2mm角チップに26,350ゲート規模の光再構成型ゲートアレーが実現可能であることを示すと同時に,この基本デザインを用いて従来の静的メモリ機能をもつ光再構成型ゲートアレーと比較した実装密度の改善率を明らかにする.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://hdl.handle.net/10228/4570

  • Shield effect analysis for a gate array on an Optically Reconfigurable Gate Array 査読

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   239 - 240   2006年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Differential Reconfiguration Architecture suitable for a Holographic Memory 査読

    M. Watanabe, M. Miyano, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms   198 - 203   2006年6月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • Dynamic optically reconfigurable gate array 査読

    M Watanabe, F Kobayashi

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS   45 ( 4B )   3510 - 3515   2006年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:INST PURE APPLIED PHYSICS  

    Optically reconfigurable gate arrays (ORGAs) can readily enable both fast reconfiguration and numerous reconfiguration contexts using an optical holographic memory and optical wide-band reconfiguration connections. Such devices present the possibility of large virtual gate-count very large scale integrations (VLSIs). However, the real gate-count of the VLSI part of the devices is too small-only 80. Moreover, the reconfiguration speed is not sufficiently fast: 16 to 20 mu s. For those reasons, this paper clarifies the architecture issues and presents a new architecture of a dynamic optically reconfigurable gate array (DORGA) to improve them. In addition, a comparison is made of results obtained using the previously proposed devices and DORGAs under the same environment. Finally, this paper presents a new design of a 51,272-gate-count DORGA with the new architecture.

    DOI: 10.1143/JJAP.45.3510

    Web of Science

    researchmap

  • A 1,632 gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI 査読

    Minoru Watanabe, Fuminori Kobayashi

    RECONFIGURABLE COMPUTING: ARCHITECTURES AND APPLICATIONS   3985   268 - 273   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:SPRINGER-VERLAG BERLIN  

    A Zero-Overhead Dynamic Optically Reconfigurable Gate Array (ZO-DORGA), based on a concept using junction capacitance of photodiodes and load capacitance of gates constructing a gate array as configuration memory, has been proposed to realize a single instruction set computer that requires zero-overhead fast reconfiguration. To date, although the concept and architecture have been proposed and some simulation results of designs have been presented, a ZO-ORGA VLSI chip has never been fabricated. In this paper, the first 1,632 gate-count zero-overhead VLSI chip fabricated using 0.35 um CMOS process technology is presented. The 1,632 ZO-DORGA-VLSI is not only the first prototype VLSI chip; it is also the largest gate-count ORGA. Such a large gate count ORGA had never been fabricated until this study. The performance of ZO-DORGA-VLSI is clarified and discussed using experimental results.

    Web of Science

    researchmap

  • A dynamic differential reconfiguration circuit for optically differential reconfigurable gate arrays 査読

    Minoru Watanabe, Ryuji Fujime, Fuminori Kobayashi

    IEEE MWSCAS'06: PROCEEDINGS OF THE 2006 49TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL II   94 - +   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    An Optically Differential Reconfigurable Gate Array VLSI (ODRGA-VLSI) presents the advantage that it can be reconfigured more rapidly than other optically reconfigurable gate arrays (ORGAs). In an ORGA, reconfiguration contexts are stored in a holographic memory, which is addressed by a laser array, and read as light. The reconfiguration speed is proportional to the light intensity received in each photodiode on an ORGA-VLSI. In addition, the light intensity from a holographic memory is inversely proportional to the number of its lighting bits corresponding to bits of '1' from the diffraction characteristic of a holographic memory. Therefore, the bit-by-bit programmability of ODRGA-VLSI allows more rapid reconfiguration than for other ORGAs because the light power can be focused onto a reprogrammed area instead of a much larger area. However, the reconfiguration circuit to support bit-by-bit reconfiguration occupies a large implementation area of an ODRGA-VLSI chip. Therefore, a new dynamic reconfiguration circuit has been introduced into ODRGA-VLSIs to reduce the implementation area to realize a high gate-count ODRGA-VLSI. In this paper, the VLSI implementation of the dynamic reconfiguration circuit is shown using 0.35 mu m CMOS process technology; a comparison to results from other optical reconfiguration circuits is presented.

    DOI: 10.1109/MWSCAS.2006.382216

    Web of Science

    researchmap

  • Over-sampling PLL for low-jitter and responsive clock synchronization 査読

    Manabu Inoue, Furninori Kobayashi, Minoru Watanabe

    2006 INTERNATIONAL SYMPOSIUM ON COMMUNICATIONS AND INFORMATION TECHNOLOGIES,VOLS 1-3   809 - +   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Phase Locked Loops (PLLs) are widely used in communication and required to provide low-jitter and fast frequency/phase locking capabilities. For improving these capabilities of PLL, an over-sampling phase detector (PD) using phase interpolation based on a counter with a high-frequency internal clock is proposed. PLL using normal PD compares phases of reference or input signal with its output at the time of their positive transition, but this PLL using over-sampling PD can compare phases more than once a cycle of reference. Thus, the PLL features less jitter than PLL using normal PD, and improved responsiveness. Also we optimized implementation of phase interpolation, to improve maximum operating frequency and circuit size. Experimental results including two jitter characteristics, vital in communication, are shown.

    DOI: 10.1109/ISCIT.2006.339842

    Web of Science

    researchmap

  • Optically Reconfigurable Gate Arrays vs. ASICs 査読

    Minoru Watanabe, Fuminori Kobayashi

    2006 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS   1164 - +   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    An Optically Reconfigurable Gate Array (ORGA) is a type of Field Programmable Gate Array (FPGA) that can be reconfigured optically in a very short time and with many reconfiguration contexts by combining a holographic memory and a laser array on a gate-array VLSI. An ORGA can be considered as a type of next-generation three-dimensional (31)) VLSI chip. The developments of high-gate count ORGA-VLSIs, optical reconfiguration systems with a holographic memory, and laser arrays have been advanced recently. However, ORGA performance has never been discussed in comparison with current Application Specific Integrated Circuits (ASICs) or full custom VLSIs. In this paper, the performance difference between ORGAs and ASICs is clarified; the future vision of the ORGA is also discussed.

    DOI: 10.1109/APCCAS.2006.342348

    Web of Science

    researchmap

  • Hybrid sample rate converter with 110dB SNR and 1/10 less logic gates 査読

    Manabu Inoue, Fuminori Kobayashi, Minoru Watanabe

    2006 IEEE INTERNATIONAL CONFERENCE ON ELECTRO/INFORMATION TECHNOLOGY   432 - 436   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    An SRC, sampling rate converter, consisting of conventional filter-type SRC and time-domain SRC using Fourier interpolation algorithm is proposed. Filter portion realizes over-sampling, and its output is interpolated by the Fourier portion. The SRC achieves noise level of as low as -110dB for all frequencies, and its gate count is about 1000000 FPGA logic cells.

    DOI: 10.1109/EIT.2006.252121

    Web of Science

    researchmap

  • Reconfiguration speed adjustment technique for ORGAs with a holographic memory 査読

    Minoru Watanabe, Fuminori Kobayashi

    2006 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS, PROCEEDINGS   917 - 922   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array. The gate array of ORGAs is optically reconfigured using diffraction patterns from a holographic memory that is addressed by a laser diode array. In previously proposed ORGAs, the optical reconfiguration speed has been designed to be constant by assuming a worst-case reconfiguration speed. However, the diffraction efficiency of a holographic memory varies depending on the pattern of reconfiguration contexts that is recorded in it. Therefore, this paper proposes a reconfiguration speed adjustment technique for ORGAs to accelerate the reconfiguration speed. In addition, the advantages are discussed from some simulation results of a holographic memory and the experimental results of a fabricated gate array VLSI.

    DOI: 10.1109/FPL.2006.311344

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array with a holographic memory 査読

    Minoru Watanabe, Mototsugu Miyano, Fuminori Kobayashi

    20th International Parallel and Distributed Processing Symposium, IPDPS 2006   2006   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE Computer Society  

    Optically Reconfigurable Gate Arrays (ORGAs) offer the possibility of providing a virtual gate count that is much larger than those of currently available VLSIs by exploiting the large storage capacity of holographic memory. We developed an Optically Differential Reconfigurable Gate Array (ODRGA-VLSI) with no overhead and fast reconfiguration capability. This paper presents the results of development of a perfect optical reconfigurable system with the ODRGA-VLSI chip and holographic memory. Experimental results of the reconfiguration procedure and circuit performance on a gate array are also presented. © 2006 IEEE.

    DOI: 10.1109/IPDPS.2006.1639478

    Scopus

    researchmap

  • Power consumption advantage of a dynamic optically reconfigurable gate array 査読

    Minoru Watanabe, Fuminori Kobayashi

    20th International Parallel and Distributed Processing Symposium, IPDPS 2006   2006   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE Computer Society  

    Optically reconfigurable gate arrays (ORGAs) are a type of field programmable gate array (FPGA). However, unlike FPGAs, an ORGA can quickly be reconfigured optically using external optical memories and optical connections. Recently, various types of ORGAs have been developed. However, their gate counts were not satisfactory compared with those of FPGAs. Therefore, to improve the gate density of conventional ORGAs, a dynamic ORGA (DORGA) architecture that can remove static memory functions to store a configuration context has been proposed. The DORGA architecture offers not only the advantages of a high gate count, but also the advantage of low reconfiguration power consumption. To date, its power consumption has never been clarified. For that reason, this paper presents measurement results of the optical reconfiguration power consumption of a DORGA-VLSI chip. In addition, the power consumption advantages of the DORGA architecture are clarified through comparison with other ORGAs. © 2006 IEEE.

    DOI: 10.1109/IPDPS.2006.1639490

    Scopus

    researchmap

  • A 476-gate-count dynamic optically reconfigurable gate array VLSI chip in a standard 0.35 mu m CMOS technology 査読

    Minoru Watanabe, Fuminori Kobayashi

    ASP-DAC 2006: 11TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, PROCEEDINGS   108 - +   2006年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Web of Science

    researchmap

  • A 51,272-gate-count Dynamic Optically Reconfigurable Gate Array in a standard 0.35um CMOS Technology 査読

    M. Watanabe, F. Kobayashi

    International Conference on Solid State Devices and Materials,   336 - 337   2005年9月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • Fourier/Filter Hybrid Sampling Rate Converter 査読

    M. Inoue, F. Kobayashi, M. Watanabe

    SICE Annual Conference   176 - 179   2005年8月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A dynamic optically reconfigurable gate array using dynamic method 査読

    M. Watanabe, F. Kobayashi

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science   50 - 58   2005年2月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • An Optically Differential Reconfigurable Gate Array VLSI chip with a dynamic reconfiguration circuit 査読

    Minoru Watanabe, Fuminori Kobayashi

    Proceedings - 19th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2005   2005   145   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    An Optically Differential Reconfigurable Gate Array (ODRGA) is a type of Field Programmable Gate Array (FPGA), but its gate array can be reconfigured optically in less than 6 ns. We have fabricated a 68 gate-count ODRGA. However, optical differential reconfiguration circuits, which are capable of optical detection of configuration contexts and which can support reconfiguration of an arbitrary part of its gate array bit-by-bit, occupy up to 47% of the implementation area of ODRGA-VLSI chip and prevent realization of a high gate-count ODRGA. Therefore, a dynamic optical differential reconfiguration circuit was developed to reduce the implementation area of optical reconfiguration circuits. It has been evaluated separately. This paper presents the first 476 gate count ODRGA-VLSI chip with a standard 0.35 μm 3-metal CMOS process technology using an improved dynamic optical differential reconfiguration circuit. In addition, the dynamic reconfiguration frequency and performance of logic blocks are shown using HSPICE simulation results. Finally, this paper presents an estimation of the use of a standard 0.35 μm 3-metal 14.2 × 14.2 mm chip.

    DOI: 10.1109/IPDPS.2005.105

    Scopus

    researchmap

  • A 16,000-gate-count optically reconfigurable gate array in a standard 0.35 mu m CMOS technology 査読

    M Watanabe, F Kobayashi

    2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS   1214 - 1217   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Up to now, we have fabricated 68-gate-count Optically Reconfigurable Gate Arrays (ORGAs), the reconfiguration period of which has been confirmed as less than 10 us. As the next step, we have begun development of high-gate-count ORGAs. The new ORGA-VLSI chip can achieve a 16,000-gate-count through reduction of photodiode size, photodiode spacing, and through introduction of a small optical reconfiguration circuit, that do not exceed the resolution of available optical components. This paper presents the new design of a 16,000-gate-count ORGA using a standard 0.35 mu m 3-Metal CMOS process technology. In addition, photodiode characteristics are extracted from experimental results using an estimation chip and an evaluation of optical reconfiguration circuits using HSPICE simulation.

    DOI: 10.1109/ISCAS.2005.1464812

    Web of Science

    researchmap

  • A zero-overhead dynamic optically reconfigurable gate array 査読

    M Watanabe, F Kobayashi

    FPT 05: 2005 IEEE INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE TECHNOLOGY, PROCEEDINGS   297 - 298   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents a zero-overhead Dynamic Optically Reconfigurable Gate Array (DORGA) that uses the load capacitance of gates to construct a gate array to maintain its state during optical reconfiguration, and uses junction capacitance of photodiodes as configuration memory. It improves a reconfiguration overhead problem of the previously proposed DORGA. The reconfiguration procedure is executable without the overhead of optical reconfiguration by reducing the dispersion delay between optical reconfiguration circuits. This paper presents the design of 1,632 gate count zero-overhead DORGAs reduced the dispersion delay using a standard 0.35 mu m three-metal CMOS process technology.

    DOI: 10.1109/FPT.2005.1568569

    Web of Science

    researchmap

  • An improved dynamic optically reconfigurable gate array 査読

    M Watanabe, F Kobayashi

    IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI, PROCEEDINGS   136 - 141   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    To date, we have proposed Dynamic Optically Reconfigurable Gate Arrays (DORGAs), the implemented photodiodes of which serve not only as receivers but also as memory. DORGA offers the merit of easily providing a high gate count optically reconfigurable gate array (ORGA) because each reconfiguration circuit consists of only a photodiode and a refresh transistor However even though the fast reconfiguration capability has been confirmed as less than 6 ns, such systems have a demerit: their gate arrays can not function during reconfiguration. Consequently, reconfiguration and operation of the implemented circuit on a gate array can not be executed in parallel. Because of that fact, the dynamical reconfiguration frequency of DORGA is slow compared to those of ORGAs with latches, flip-flops, or memory. For that reason, this paper proposes a new optical reconfiguration architecture. Using it, the reconfiguration and implemented circuit operation on a gate array are executable in parallel merely by adding a pass transistor The new design of a 476-gate-count improved DORGA using a standard 0. 35 mu m three-metal CMOS process technology is also shown.

    DOI: 10.1109/ISVLSI.2005.16

    Web of Science

    researchmap

  • A 16,000-gate-count Optically Reconfigurable Gate Array in a standard 0.35μm CMOS technology 査読

    Minoru Watanabe, Fuminori Kobayashi

    Proceedings - IEEE International Symposium on Circuits and Systems   1213 - 1217   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    Up to now, we have fabricated 68-gate-count Optically Reconfigurable Gate Arrays (ORGAs), the reconfiguration period of which has been confirmed as less than 10 ns. As the next step, we have begun development of high-gate-count ORGAs. The new ORGA-VLSI chip can achieve a 16,000-gatecount through reduction of photodiode size, photodiode spacing, and through introduction of a small optical reconfiguration circuit, that do not exceed the resolution of available optical components. This paper presents the new design of a 16,000-gate-count ORGA using a standard 0.35 μm 3-Metal CMOS process technology. In addition, photodiode characteristics are extracted from experimental results using an estimation chip and an evaluation of optical reconfiguration circuits using HSPICE simulation. © 2005 IEEE.

    DOI: 10.1109/ISCAS.2005.1464812

    Scopus

    researchmap

  • Rapid reconfiguration of an optically differential reconfigurable gate array with pulse lasers 査読

    M Miyano, M Watanabe, F Kobayashi

    FPT 05: 2005 IEEE International Conference on Field Programmable Technology, Proceedings   287 - 288   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    We have developed an Optically Differential Reconfigurable Gate Array (ODRGA-VLSI) to realize no-overhead and fast reconfigurable devices. The no-overheadand fast reconfiguration capability of a fabricated VLSI chip has been confirmed experimentally However to date, evaluation of nanosecond reconfiguration speed with an entire system combining a VLSI chip, spatial light modulators (SLMs) used as optical memories, and lasers has never been conducted. Therefore, this paper presents an entire ODRGA system including SLMs, pulse lasers, and an ODRGA-VLSI chip. Results show a 220 ns fast reconfiguration and circuit performance on the gate array.

    DOI: 10.1109/FPT.2005.1568564

    Web of Science

    researchmap

  • Optically differential reconfigurable gate array using an optical system with VCSELs 査読

    M Miyano, M Watanabe, F Kobayashi

    IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI, PROCEEDINGS   274 - 275   2005年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    Optically Reconfigurable Gate Arrays (ORGAs) have been developed to allow rapid reconfiguration. We have developed an Optically Differential Reconfigurable Gate Array (ODRGA) to realize fast and arbitrary partial reconfiguration capabilities. This paper presents the structure of a fabricated ODRGA-VLSI chip using an optical system with Vertical Cavity Surface Emitting Lasers (VCSELs) along with experimental results of reconfiguration.

    DOI: 10.1109/ISVLSI.2005.54

    Web of Science

    researchmap

  • Sampling rate conversion by Fourier interpolation 査読

    M Inoue, F Kobayashi, M Watanabe

    SICE 2004 ANNUAL CONFERENCE, VOLS 1-3   1613 - 1616   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:SOC INSTRUMENT CONTROL ENGINEERS JAPAN  

    New time-domain SRC using Fourier interpolation to achieve less gate count than in frequency domain is proposed and implemented by FPGA. Layout area of the proposed SRC based on a 0.35 mu m process is 5.728mm(2), smaller than the conventional SRC using filters. The noise level is reduced down to the quantizaion error level by using several improving methods.

    Web of Science

    researchmap

  • An Optically Differential Reconfigurable Gate Array with a partial reconfiguration optical system and its power consumption estimation 査読

    M Watanabe, F Kobayashi

    17TH INTERNATIONAL CONFERENCE ON VLSI DESIGN, PROCEEDINGS   735 - 738   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE COMPUTER SOC  

    This paper proposes an optically differential reconfigurable gate array (ODRGA) with a partial reconfiguration optical system. The ODRGA not only realizes a partial reconfiguration capability; it also reduces the amount of memory required to store reconfiguration contexts while reducing optical reconfiguration power consumption. This paper presents ODRGA-VLSI, which is available for a partial reconfiguration technique. Advantages of reducing the amount of memory and power consumption are estimated theoretically and compared with a conventional optically reconfigurable gate array.

    DOI: 10.1109/ICVD.2004.1261015

    Web of Science

    researchmap

  • Timing analysis of an optically differential reconfigurable gate array for dynamically reconfigurable processors 査読

    M Watanabe, F Kobayashi

    ERSA '04: THE 2004 INTERNATIONAL CONFERENCE ON ENGINEERING OF RECONFIGURABLE SYSTEMS AND ALGORITHMS   311 - 311   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:C S R E A PRESS  

    Web of Science

    researchmap

  • An optical reconfiguration circuit for optically reconfigurable gate arrays 査読

    M Watanabe, F Kobayashi

    2004 47TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL I, CONFERENCE PROCEEDINGS   529 - 532   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    An optical reconfiguration circuit suitable for Optically Reconfigurable Gate Arrays (ORGAs) is proposed to improve the gate density of ORGAs. The optical reconfiguration circuit works as a receiver circuit and temporary memory for optically-supplied reconliguration contexts. The circuit is connected for each programming element of the gate array. Reducing the implementation area of optical reconfiguration circuits is very important to improve the gate density because the number of programming elements of the gate array is extremely large. This paper presents an optical reconfiguration circuit reduced to 43% implementation area compared with that of conventional circuits and an ORGA design with the optical reconfiguration circuit using 0.35 mum 3-Metal CMOS technology. In addition, this study presents an evaluation using HSPICE simulation and photodiode characteristics extracted from experimental results.

    DOI: 10.1109/MWSCAS.2004.1354044

    Web of Science

    researchmap

  • Testing method for optical connections using gate array structure in ORGAs 査読

    M Watanabe, F Kobayashi

    ERSA '04: THE 2004 INTERNATIONAL CONFERENCE ON ENGINEERING OF RECONFIGURABLE SYSTEMS AND ALGORITHMS   299 - 299   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:C S R E A PRESS  

    Web of Science

    researchmap

  • A high-density optically reconfigurable gate array using dynamic method 査読

    M Watanabe, F Kobayashi

    FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS, PROCEEDINGS   3203   261 - 269   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:SPRINGER-VERLAG BERLIN  

    A high-density optically reconfigurable gate array (ORGA) is proposed to improve the gate density of conventional ORGAs, which are a type of Field Programmable Gate Array (FPGA). However, unlike FPGAs, an ORGA is reconfigured optically with external optical memories. A conventional ORGA has many programming elements, just as FPGAs do. One programming element consists of a photodiode to detect an optical reconfiguration signal; a latch, a flip-flop or a bit of memory to temporarily store the reconfiguration bit; and some transistors. Among those components, the latch, flip-flop, or memory occupies a large implementation area on a typical VLSI chip-, it prevents realization of a high-gate-density ORGA. This paper presents a high-density ORGA structure that eliminates latches, flip-flops, and memory using a dynamic method and a design of an ORGA-VLSI chip with four optically reconfigurable logic blocks, five optically reconfigurable switching matrices, and four optical reconfigurable I/O blocks including four I/O bits. It uses 0.35 mum 3-Metal CMOS process technology. This study also includes some experimental results.

    DOI: 10.1007/978-3-540-30117-2_28

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array using a 0.18 mu m CMOS process 査読

    M Watanabe, F Kobayashi

    IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   281 - 284   2004年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents the design of a high-density optically differential reconfigurable gate array (ODRGA) using a 0.18 mum - 5 Metal CMOS process technology. ODRGA is a type of Field Programmable Gate Arrays (FPGAs). However, unlike conventional FPGAs, ODRGAs are reconfigured optically using an external optical system. Although ODRGAs have already been fabricated using a 0.35 mum - 3 Metal CMOS process technology, their gate-density remains unsatisfactory. For that reason, a new ODRGA-VLSI chip with four logic blocks, five switching matrices, and 16 I/O bits was fabricated on a 7.82 mm(2) chip using more advanced process technology. This paper presents the detailed design of a fabricated ODRGA-VLSI chip, the optical reconfiguration circuit, the gate array structure, the CAD layout, and an ODRGA-VLSI chip mounted on an estimation board. This study also includes experimental results regarding the reconfiguration period.

    DOI: 10.1109/SOCC.2004.1362436

    Web of Science

    researchmap

  • 部分再構成が可能な光再構成型ゲートアレイVLSI 査読

    渡邊実, 小林

    電子情報通信学会論文誌   J86-C ( 8 )   869 - 877   2003年8月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    近年,光による広いバンド幅を利用することで,電気配線では実現できない高速な書込みが可能なプログラマブルゲートアレー,光再構成型ゲートアレーが研究されている.しかし,これまでの光再構成型ゲートアレーでは,回路すべてを書き換えることはできるが,部分的に書き換えることはできなかった.このため,ダイナミックに再構成を行う場合,メモリの使用効率が著しく低下する問題があった.本論文では,部分的な再構成を可能にした光再構成型ゲートアレーのアーキテクチャを示し,0.35μmプロセスに対して4個の論理ブロック,5個のスイッチングマトリックス,4個のI/Oブロックを実装した68ゲート規模の光再構成型ゲートアレーVLSIの実装レイアウトを示す.また,論理ブロック,スイッチングマトリックス,I/Oブロック個別に受光部,差分再構成回路部,ゲートアレー部の占有面積の比率について評価し,0.35μmプロセスを用いた場合,将来的に65kゲート規模の光再構成型ゲートアレーが実現可能なことを示す.

    CiNii Article

    CiNii Books

    researchmap

  • FPGA implementation of Finite Physical Quantity Neural Network 査読

    T. Sotohebo, M. Watanabe, F. Kobayashi

    Journal of Robotics and Mechatronics   15 ( 2 )   136 - 142   2003年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)  

    researchmap

  • Configuration for an optically differential reconfigurable gate array 査読

    M Miyano, M Watanabe, F Kobayashi

    SICE 2003 ANNUAL CONFERENCE, VOLS 1-3   1984 - 1987   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    An Optically Differential Reconfigurable Gate Array (ODRGA) has been proposed to enable dynamic reconfiguration of an arbitrary location on its gate array. The dynamic reconfiguration of an arbitrary location can be realized by calculating the difference between previous configuration data stored on a VLSI chip and subsequent optically-supplied configuration data. This paper presents an optical reconfiguration sysytem for ODRGA VLSI chips using a liquid crystal television spatial light modulator and shows the experimental results of dynamic reconfiguration of an arbitrary location on an ODRGA VLSI chip.

    Web of Science

    researchmap

  • A finite physical quantity neural network VLSI with a learning capability 査読

    M Watanabe, F Kobayashi

    SICE 2003 ANNUAL CONFERENCE, VOLS 1-3   1988 - 1991   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A finite physical quantity neural network (FPQNN) VLSI with a learning capability is proposed. The FPQNN model has a feature that the total electric charge stored in all neurons in a network is monotone decreasing while recalling. Despite the restriction of never increasing, the FPQNN model can produce a good performance for pattern classification. This paper presents an analog Circuit implementation with a learning capability that can calculate the movement of electric charge of the FPQNN model precisely and rapidly.

    Web of Science

    researchmap

  • Design of an Optically Differential Reconfigurable Gate Array VLSI chip with optically and electrically controlled logic blocks 査読

    M Watanabe, F Kobayashi

    IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS   287 - 288   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    An Optically Differential Reconfigurable Gate Array (ODRGA) was developed to achieve a partial dynamic reconfiguration capability. This paper proposes a novel optically and electrically controlled logic block structure suitable for ODRGAs. In addition, it presents a design of an optically differential reconfigurable gate array VLSI chip with 4 optically and electrically controlled logic blocks, 5 switching matrices, and 12 optically and electrically controlled I/O bits using 0.35 mum 3-Metal CMOS technology.

    DOI: 10.1109/SOC.2003.1241524

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array with a dynamic reconfiguration circuit 査読

    Minoru Watanabe, Fuminori Kobayashi

    Proceedings - International Parallel and Distributed Processing Symposium, IPDPS 2003   2003年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:Institute of Electrical and Electronics Engineers Inc.  

    An optically differential reconfigurable gate array (ODRGA) using a dynamic circuit technique is proposed to reduce the area occupied by the configuration circuit on a VLSI chip. The ODRGA reconfiguration process is performed by calculating the difference between previous configuration data stored on a VLSI chip and subsequent optically-supplied configuration data. The reconfiguration circuit of our previously proposed ODRGA using static circuit techniques required a large implementation area. This paper introduces a new dynamic reconfiguration circuit and compares an estimate of its area on the VLSI chip with that obtained by the static technique.

    DOI: 10.1109/IPDPS.2003.1213349

    Scopus

    researchmap

  • A neural network model using finite physical quantities and its realization on LSIs 査読

    M Watanabe, F Kobayashi

    SICE 2002: PROCEEDINGS OF THE 41ST SICE ANNUAL CONFERENCE, VOLS 1-5   1863 - 1864   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A CMOS circuit for a finite physical quantities neural network model is proposed. The circuit can precisely express the movement of the finite physical quantities and quickly execute the enormous iterative calculations in the finite physical quantities model. This paper presents a HSPICE simulation result for pattern recognition and estimation of the circuit.

    DOI: 10.1109/SICE.2002.1196607

    Web of Science

    researchmap

  • Motion image compression circuit using the silicon retina as active sensor 査読

    M Amagasaki, F Kobayashi, M Watanabe, T Yagi

    SICE 2002: PROCEEDINGS OF THE 41ST SICE ANNUAL CONFERENCE, VOLS 1-5   1859 - 1860   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    Silicon retina, modeling a biological architecture, can implement parallel operations with low power. To implement a compact, fast image-processing system, this paper describes a circuit with FPGA (Field Programmable Gate Array) and silicon retina combined together. Circuit optimization by DCT (Discrete Cosine Transform) is proposed.

    DOI: 10.1109/SICE.2002.1196605

    Web of Science

    researchmap

  • An optically differential reconfigurable gate array and its power consumption estimation 査読

    M Watanabe, F Kobayashi

    2002 IEEE INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (FPT), PROCEEDINGS   197 - 202   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    A new optically differential reconfigurable gate array (ODRGA) is proposed to reduce configuration power consumption. The ODRGA has a simple architecture that adds a small circuit to conventional optically reconfigurable gate arrays (ORGAs) and uses differential configuration data stored in an optical holographic memory. In this paper configuration power consumption of ORGAs is estimated theoretically. Based on results, we show an estimation of configuration power consumption and comparative area occupied by the configuration circuit for ODRGA and conventional ORGAs.

    DOI: 10.1109/FPT.2002.1188682

    Web of Science

    researchmap

  • A compressed implementation of neural network with finite physical quantities on FPGAs 査読

    T Sotohebo, M Watanabe, F Kobayashi

    SICE 2002: PROCEEDINGS OF THE 41ST SICE ANNUAL CONFERENCE, VOLS 1-5   1861 - 1862   2002年

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)   出版者・発行元:IEEE  

    This paper presents the implementation of a multilayer neural network with finite physical quantities on a FPGA. The FPGA implementation extensively reduces much computer time for the neural network that requires iterative operation to exchange the physical quantities between neurons little by little.

    DOI: 10.1109/SICE.2002.1196606

    Web of Science

    researchmap

  • ニューラルネットワークVLSI

    渡邊 実, 小林 史典

    計測と制御 = Journal of the Society of Instrument and Control Engineers   40 ( 12 )   893 - 896   2001年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:The Society of Instrument and Control Engineers  

    DOI: 10.11499/sicejl1962.40.893

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: https://jlc.jst.go.jp/DN/JALC/00155220780?from=CiNii

  • An optical energy neural networkwith self-organizing capability 査読

    M. Watanabe, A. Itoh, F. Kobayashi

    17th annual conference of International Conference on Circuits/Systems Computers and Communications   2   1292 - 1295   2001年7月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    CiNii Article

    researchmap

  • DIGITAL ASSOCIATIVE MEMORY NEURAL-NETWORK WITH OPTICAL LEARNING CAPABILITY 査読

    M WATANABE, J OHTSUBO

    OPTICS COMMUNICATIONS   113 ( 1-3 )   31 - 38   1994年12月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(学術雑誌)   出版者・発行元:ELSEVIER SCIENCE BV  

    A digital associative memory neural network system with optical learning and recalling capabilities is proposed by using liquid crystal television spatial light modulators and an Optic RAM detector. In spite of the drawback of the limited memory capacity compared with optical analogue associative memory neural network, the proposed optical digital neural network has the advantage of all optical learning and recalling capabilities, thus an all optics network system is easily realized. Some experimental results of the learning and the recalling for character recognitions are presented. This new optical architecture offers compactness of the system and the fast learning and recalling properties. Based on the results, the practical system for the implementation of a faster optical digital associative memory neural network system with ferro-electric liquid crystal SLMs is also proposed.

    DOI: 10.1016/0030-4018(94)90588-6

    Web of Science

    researchmap

▼全件表示

書籍等出版物

  • FPGAの原理と構成

    渡邊 実( 担当: 共著)

    オーム社  2016年4月 

     詳細を見る

  • High-Performance Computing Using FPGAs

    M. Watanabe( 担当: 共著)

    Springer  2013年6月 

     詳細を見る

  • 「高速な木探索回路を実現する」,ディジタル・デザイン・テクノロジ

    渡邊 実( 担当: 共著)

    CQ出版  2012年10月 

     詳細を見る

  • Advances in Solid State Circuit Technologies

    M. Watanabe( 担当: 共著)

    IN-TECH  2010年4月 

     詳細を見る

  • Parallel and Distributed Computing

    M. Watanabe( 担当: 共著)

    IN-TECH  2010年1月 

     詳細を見る

  • New Developments in Liquid Crystals

    M. Watanabe( 担当: 共著)

    IN-TECH  2009年11月 

     詳細を見る

▼全件表示

MISC

  • MISCプロセッサの光再構成型ゲートアレイVLSIへの実装と最大動作周波数評価

    今井颯真, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023年11月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成アーキテクチャを用いたウエハースケールVLSIの実現性

    高田睦士, 渡邊 実, 渡邊 誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023年11月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 液晶ホログラフィックメモリを持つ耐放射線光再構成型ゲートアレイへの並列構成試験

    後藤彩絵, 渡邊 実, 荻原昭文, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023年11月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成型ゲートアレイVLSIのγ線による放射線劣化特性の評価

    山田 果歩, 渡邊 実, 渡邊 誠也

    第67回宇宙科学技術連合講演会   2023年10月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成型ゲートアレイの放射線試験向けモニタリングシステム

    関岡空己, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023年9月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 水晶発振器を用いない光再構成型ゲートアレイへの順序回路の実装

    高月信太朗, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023年9月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成型ゲートアレイの低電圧動作評価

    島村侑希, 渡邊 実, 渡邊誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2023年6月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 液晶・高分子材料への波長多重記録によるホログラフィックメモリの作成

    荻原昭文, 渡邊 実

    第70回応用物理学会春季学術講演会   03-172   2023年3月

     詳細を見る

    担当区分:最終著者, 責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 脈流電源を用いた光再構成型ゲートアレイ

    辻野 将, 渡邊 実, 渡邊誠也

    情報処理学会第85回全国大会   2023年3月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • マルチコンテキストスクラビングによる順序回路実装

    安藤 駆, 渡邊 実, 渡邊誠也

    情報処理学会第85回全国大会   2023年3月

     詳細を見る

    担当区分:責任著者   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 耐放射線イメージセンサのトータルドーズ耐性

    第66回宇宙科学技術連合講演会   2022年11月

     詳細を見る

    担当区分:責任著者  

    researchmap

  • 宇宙機器向けマルチコンテキストスクラビング

    安藤 駆, 渡邊 実, 渡邊 誠也

    第66回宇宙科学技術連合講演会   2022年11月

     詳細を見る

    担当区分:責任著者  

    researchmap

  • パネルタイトル「FPGAデザインコンテスト」,パネルディスカッション 「自律型モビリティシステムとドメイン特化型ハードウェアやFPGA技術の未来」 招待

    渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2022年9月

     詳細を見る

    担当区分:筆頭著者, 最終著者, 責任著者  

    researchmap

  • 光再構成型ゲートアレイVLSIの290 Mradまでのトータルドーズ耐性試験

    山田 果歩, 岡崎 武志, 渡邊 実, 渡邊 誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2022年6月

     詳細を見る

    担当区分:責任著者  

    researchmap

  • 完全並列構成が可能な光再構成型ゲートアレイVLSI

    後藤 彩絵,渡邊 実,渡邊 誠也

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)   2022年6月

     詳細を見る

    担当区分:責任著者  

    researchmap

  • FSLによる3値化CNNのFPGA実装

    尾崎 洸人, 渡邊 誠也, 名古屋 彰, 渡邊 実

    パルテノン研究会   2021年12月

     詳細を見る

    担当区分:責任著者  

    researchmap

  • VTRを使用したMono Instruction Set Computerの性能解析 (リコンフィギャラブルシステム)

    榛葉 大樹, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   117 ( 221 )   43 - 46   2017年9月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Article

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリ計算のハードウェアアクセラレーション (リコンフィギャラブルシステム)

    藤森 卓巳, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   117 ( 221 )   31 - 36   2017年9月

     詳細を見る

    担当区分:最終著者, 責任著者   記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Article

    researchmap

  • マルチコンテキストを用いた高速光スクラビング (リコンフィギャラブルシステム)

    藤森 卓巳, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   117 ( 46 )   105 - 109   2017年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Article

    researchmap

  • 光再構成型ゲートアレイの光入力 (リコンフィギャラブルシステム)

    榛葉 大樹, 古川 晋也, Shairah Abdul Halim Ili, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   116 ( 53 )   67 - 70   2016年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Article

    researchmap

  • 光再構成型ゲートアレイの反転コンフィギュレーション手法のフォールトトレランス評価 (リコンフィギャラブルシステム)

    榛葉 大樹, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   115 ( 343 )   5 - 8   2015年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Article

    researchmap

  • 光再構成型ゲートアレイの高速スクラビング (リコンフィギャラブルシステム)

    藤森 卓巳, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   115 ( 109 )   131 - 134   2015年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:電子情報通信学会  

    CiNii Article

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリ部の放射線耐性 (リコンフィギャラブルシステム)

    森脇 烈, 伊藤 宏幸, 前川 輝, 渡邊 実, 荻原 昭文

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 ( 223 )   19 - 22   2014年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は現在,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,光による高速動的再構成が可能な光再構成型ゲートアレイについて研究開発している.光再構成型ゲートアレイは放射線に対して高い耐性を有する.しかし,これまで光再構成型ゲートアレイの耐放射線エミュレーション試験を行ってきたが,実際に放射線を照射した試験は行っていなかった.この度,光再構成型ゲートアレイのホログラムメモリに対してガンマ線を照射し,構成試験を実施した.本稿では,この試験を通して,光再構成型ゲートアレイのホログラムメモリの放射線耐性を示す.

    CiNii Article

    CiNii Books

    researchmap

  • 並列処理指向・光再構成型ゲートアレイVLSI (リコンフィギャラブルシステム)

    藤森 卓巳, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   114 ( 223 )   47 - 50   2014年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は高速な動的再構成を目的とした光再構成型ゲートアレイの研究開発を進めている.光再構成型ゲートアレイはレーザアレイ,ホログラムメモリ,光再構成型ゲートアレイVLSIの3要素で構成されており,光によって完全並列に回路情報をダウンロードすることで,高速な再構成を実現することができる.また,大容量の3次元ホログラムメモリを用いることにより,大量のコンテキスト情報を保持することができる.我々は再構成デバイスのコンフィグレーションメモリを共有化し,より高密度化する並列処理指向のアーキテクチャも提案している.本稿では,並列処理指向のアーキテクチャを導入し,新たに設計した並列処理指向・光再構成型ゲートアレイVLSIについて報告する。

    CiNii Article

    CiNii Books

    researchmap

  • 差分光再構成型ゲートアレイの放射線耐性向上実装手法 (リコンフィギャラブルシステム)

    瀬尾 真人, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 ( 325 )   83 - 86   2013年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,FPGA(Field Programmable Gate Array)は様々なシステムに幅広く使用されている.しかし,FPGAは放射線に脆弱であるという問題点があり,宇宙空間での利用が制限されてきた.そこで,我々は宇宙空間で使用できるデバイスとして光再構成型ゲートアレイを研究開発している.光再構成型ゲートアレイは光学技術を導入することで,FPGAと比較し,構成,再構成において高い放射線耐性を実現している.本稿では,光再構成型ゲートアレイの回路実装手法に差分再構成手法を用いることで,さらに放射線耐性を高めることができることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 負論理回路実装を活用した光再構成型ゲートアレイの放射線耐性の向上手法

    森脇 烈, 渡邊 実, 荻原 昭文

    宇宙科学技術連合講演会講演集   57   5p   2013年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:日本航空宇宙学会  

    CiNii Article

    researchmap

  • 光再構成型ゲートアレイへの可変サイズスポット構成手法 (リコンフィギャラブルシステム)

    赤木 昂太, 渡邊 実

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 ( 221 )   109 - 112   2013年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて,高速な動的再構成が可能な光再構成型ゲートアレイの研究開発を進めている.光再構灰型ゲートアレイはレーザアレイ,ホログラムメモリ,ゲートアレイVLSIの三層構造からなる.2次元の光バス用いコンテキスト情報を並列転送することで,高速な再構成が実現できる.また,回路情報をホログラムメモリに記録することで,大容量の回路情報を保持することが可能である.よって,動的再構成を行うことで,光再構成型ゲートアレイでは,仮想的に大規模なゲートアレイが実現できる.本稿では,このような光再構成型ゲートアレイのさらなる高密度化を目指し,回折限界を超える分解能での書き込みを可能にする可変サイズスポット構成手法を提案し,試験結果を報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 120℃環境下での光再構成試験 (リコンフィギャラブルシステム)

    森脇 烈, 渡邊 実, 荻原 昭文

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報   113 ( 52 )   37 - 40   2013年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    宇宙空間の組み込みシステムは,宇宙放射線が飛び交い,広範囲な温度という劣悪な環境に耐える必要がある.そのような状況下でも,正常に動作が可能な宇宙用プログラマブルデバイスとして,我々は,光再構成型ゲートアレイを研究開発している.この光再構成型ゲートアレイは光により高速動的再構成が可能で,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成される.ホログラムメモリには大量のコンテキスト情報を蓄えることができ,レーザアレイを用いて所望のコンテキスト情報を選択し,ゲートアレイにプログラムすることができる.しかし,これまでのホログラムメモリは,温度の上昇により,パターンの形状,サイズが変化したり,回折効率が低下するという問題点があった.そこで,我々は集積回路と同程度の120℃に耐えられるホログラムメモリを開発した.本稿では,そのホログラムメモリを用いて,120℃環境下においても問題なく光再構成が可能であることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • D-18-3 2コンテキストMEMS光再構成型ゲートアレイ(D-18.リコンフィギャラブルシステム)

    山地 勇一郎, 渡邊 実

    電子情報通信学会総合大会講演論文集   2013 ( 1 )   197 - 197   2013年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Article

    CiNii Books

    researchmap

  • 0.18μm CMOSプロセスダイナミック光再構成型ゲートアレイVLSI

    窪田 貴之, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   112 ( 325 )   23 - 27   2012年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は高速な動的再構成を目的とした光再構成型ゲートアレイの研究開発を進めている.光再構成型ゲートアレイはレーザアレイ,ホログラムメモリ,ゲートアレイVLSIの3層構造で,2次元の光バスを用いてコンテキスト情報を並列転送し,高速な再構成を実現することができる.また,大容量の3次元ホログラムメモリを用いることにより,大量のコンテキスト情報を保持することができる.よって,光再構成型ゲートアレイでは動的再構成を行うことにより,仮想的に大規模なゲートアレイを実現することができる.本稿では,新たに試作した0.18μm CMOSプロセス・ダイナミック光再構成型ゲートアレイVLSIの評価結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ビジョンチップによる16階調グレーレベル画像認識

    上窪 勇貴, 渡邊 実, 川人 祥二

    電子情報通信学会技術研究報告. SIP, 信号処理 : IEICE technical report   112 ( 246 )   19 - 23   2012年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,ロボットや自動車などさまざまな分野で自律制御機能が求められており,リアルタイムに画像認識が可能な組み込みシステムの開発が急務になっている.しかし,実際にはプロセッサとメモリ間の転送速度に問題があり,既存の集積回路においてリアルタイムな画像認識処理は難しい.そこで,この問題を解決するために我々は,動的光再構成型ビジョンチップアーキテクチャを開発している.この度,この動的光再構成型ビジョンチップアーキテクチャを用いて16階調のグレイレベルの画像の認識を行った.本論文ではこの試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • モノ・インストラクション・セット・コンピュータ (MISC) の消費電力解析

    伊藤 宏幸, 渡邊 実

    電子情報通信学会技術研究報告. ICD, 集積回路   112 ( 247 )   35 - 38   2012年10月

     詳細を見る

  • 再構成速度調整アナログビットを含む光再構成型ゲートアレイのコンテキスト重ね合わせによる構成高速化手法 (リコンフィギャラブルシステム)

    余座 貴志, 渡邊 実

    電子情報通信学会技術研究報告 : 信学技報   112 ( 203 )   67 - 71   2012年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    宇宙開発の活発化に伴い,宇宙空間での使用に耐える組み込みデバイスの研究開発が強く求められている.宇宙空間では,地上での使用環境とは異なり,遠隔地でのデバイスの修正や仕様変更といったプログラマビリティが必要とされる.さらに,宇宙空間では,デバイスが高エネルギーの宇宙放射線に常に晒されてしまう.したがって,そのような劣悪な環境下にも耐えうる信頼性と,柔軟なプログラマビリティを兼ね備えたデバイスが必要とされている.そこで,我々はそのような仕様を満たす次世代の動的再構成デバイスである「光再構成型ゲートアレイ(Optically Reconfigurable Gate Array:ORGA)」の研究開発を進めている.これまでに我々は,個別に回路の再構成時間を最適化する再構成速度調整手法を光再構成型ゲートアレイに適用し,その有効性を実証してきた.一方,光再構成型ゲートアレイでは,同じコンテキストを複数重ね合わせることで,回路の再構成処理を高速化することができる.本稿では,そのコンテキストの重ね合わせ手法と再構成速度調整手法を併用できることを実証する.

    CiNii Article

    CiNii Books

    researchmap

  • 高速動的再構成型ビジョンチップアーキテクチャによるアナログ画像検出 (リコンフィギャラブルシステム)

    上窪 勇貴, 渡邊 実, 川人 祥二

    電子情報通信学会技術研究報告 : 信学技報   112 ( 203 )   85 - 88   2012年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,ロボットや自動車など,さまざまな分野で自律制御機能が求められており,リアルタイムに画像認識ができる組み込みシステムの開発が急務になっている.しかし,既存の組み込みシステムでは,プロセッサとメモリ間の転送速度に問題があり,高速な画像認識処理が難しい.この問題を解決するために,我々は動的光再構成型ビジョンチップアーキテクチャを提案している.これまでに動的光再構成型ビジョンチップアーキテクチャを用いてバイナリー画像の認識が可能であることを実証してきた.本稿では,動的光再構成型ビジョンチップアーキテクチャ上での4階調のグレイレベル画像認識の試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 負論理実装を可能とする新型光再構成型ゲートアレイVLSI

    森脇 烈, 渡邊 実

    電子情報通信学会技術研究報告. DC, ディペンダブルコンピューティング : IEICE technical report   111 ( 462 )   43 - 47   2012年2月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    今我々は,高速再構成と大規模な回路情報の記憶が可能な動的再構成デバイスである光再構成型ゲートアレイの開発を進めている.本稿では明点ビット数の最小化により再構成の高速化が可能な新しいVLSIチップと,その効果について報告する

    CiNii Article

    CiNii Books

    researchmap

  • 負論理実装を可能とする新型光再構成型ゲートアレイVLSI

    森脇 烈, 渡邊 実

    研究報告システムLSI設計技術(SLDM)   2012 ( 8 )   1 - 5   2012年2月

     詳細を見る

    記述言語:日本語  

    今我々は,高速再構成と大規模な回路情報の記憶が可能な動的再構成デバイスである光再構成型ゲートアレイの開発を進めている.本稿では明点ビット数の最小化により再構成の高速化が可能な新しい VLSI チップと,その効果について報告するUp to now, as one of multi-context devices, an optically reconfigurable gate array (ORGA) has been developed to achieve high-speed reconfiguration and to provide numerous reconfiguration contexts. For an acceleration method by reducing the number of bright bits, we have developed a new ORGA VLSI-chip. This paper presents reconfiguration acceleration results on the new ORGA-VLSI chip.

    CiNii Article

    CiNii Books

    researchmap

  • 負論理実装を可能とする新型光再構成型ゲートアレイVLSI

    森脇 烈, 渡邊 実

    研究報告組込みシステム(EMB)   2012 ( 8 )   1 - 5   2012年2月

     詳細を見る

    記述言語:日本語  

    今我々は,高速再構成と大規模な回路情報の記憶が可能な動的再構成デバイスである光再構成型ゲートアレイの開発を進めている.本稿では明点ビット数の最小化により再構成の高速化が可能な新しい VLSI チップと,その効果について報告するUp to now, as one of multi-context devices, an optically reconfigurable gate array (ORGA) has been developed to achieve high-speed reconfiguration and to provide numerous reconfiguration contexts. For an acceleration method by reducing the number of bright bits, we have developed a new ORGA VLSI-chip. This paper presents reconfiguration acceleration results on the new ORGA-VLSI chip.

    CiNii Article

    CiNii Books

    researchmap

  • 再構成速度調整アナログビットを含む光再構成型ゲートアレイのレーザアレイ故障からの復旧試験 (リコンフィギャラブルシステム)

    余座 貴志, 渡邊 実

    電子情報通信学会技術研究報告 : 信学技報   111 ( 399 )   157 - 161   2012年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,宇宙機器への応用を目的とした組み込みデバイスの研究開発が活発化してきている.宇宙空間での任務を担う宇宙向けのデバイスには,遠隔地からの回路修正や仕様変更といった柔軟性が求められる.また,デバイスが宇宙放射線に常に晒されてしまう条件下での高い信頼性も望まれる.そこで,我々はこれらの要望を満たす次世代の動的再構成デバイス「光再構成型ゲートアレイ(Optically Reconfigurable Gate Array:ORGA)」の研究開発に取り組んでいる.これまでに我々は速度調整ビットを追加することで,回路の再構成時間を個別に最適化する再構成速度調整手法を実証してきた.この手法では速度調整ビットの精密な輝度値調整が必要であり,宇宙空間での運用上必須となるレーザの常時点灯故障からの復旧手法が適用できるかどうかが懸念されてきた.そこで,本稿では再構成速度調整手法がそのような条件下でも有効に機能することを実証する.

    CiNii Article

    CiNii Books

    researchmap

  • 0.18μmプロセス光再構成型ゲートアレイVLSI (リコンフィギャラブルシステム)

    渡邊 貴弘, 渡邊 実

    電子情報通信学会技術研究報告 : 信学技報   111 ( 399 )   153 - 156   2012年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は細粒度の高速動的再構成デバイスとして、コンテキスト情報を光を用いて転送する光再構成型ゲートアレイの研究開発をしている.光再構成型ゲートアレイは高速な再構成と大量のコンテキストの保持を両立できる利点がある.これにより,光再構成型ゲートアレイは動的再構成を行うことで仮想的に大規模なゲートアレイを実現することができる.本稿では,新たに設計,試作した0.18μmプロセス光再構成型ゲートアレイVLSIを報告し,既存の0.35μmプロセス光再構成型ゲートアレイVLSIと比較・評価する.

    CiNii Article

    CiNii Books

    researchmap

  • ダイナミック光再構成型ゲートアレイのレーザアレイ故障からの復旧試験 (回路とシステム)

    余座 貴志, 渡邊 実

    電子情報通信学会技術研究報告 : 信学技報   111 ( 377 )   109 - 114   2012年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,宇宙開発が進む中で宇宙ロケットや人工衛星,宇宙ステーション向けの組み込みデバイスの研究開発が活発化してきている.宇宙向けのデバイスは一般に長期間に渡り使用されることから,宇宙放射線に対する高い耐性と,遠隔地からの回路修正や仕様変更が可能であることが求められる.そこで,我々はこれらの要望を満たす次世代のデバイス、光再構成型ゲートアレイ(Optically Reconfigurable Gate Array:ORGA)の研究開発に取り組んでいる.しかし,光再構成型ゲートアレイにはレーザの常時点灯故障という致命的な故障モードが存在する.我々はその問題を解決するレーザアレイ故障の復旧手法を提案し,スタティック光再構成型ゲートアレイにおいてその手法が有効であることを実証してきた.しかし,高密度実装が可能なダイナミック光再構成型ゲートアレイに対する本手法の実証は行っていなかった.そこで,本稿ではレーザアレイ故障の復旧手法がダイナミック光再構成型ゲートアレイに対しても有効であることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 0.18μmプロセス光再構成型ゲートアレイVLSI

    渡邊 貴弘, 渡邊 実

    研究報告システムLSI設計技術(SLDM)   2012 ( 30 )   1 - 4   2012年1月

     詳細を見る

    記述言語:日本語  

    我々は細粒度の高速動的再構成デバイスとして、コンテキスト情報を光を用いて転送する光再構成型ゲートアレイの研究開発をしている.光再構成型ゲートアレイは高速な再構成と大量のコンテキストの保持を両立できる利点がある.これにより,光再構成型ゲートアレイは動的再構成を行うことで仮想的に大規模なゲートアレイを実現することができる.本稿では,新たに設計,試作した 0.18μm プロセス光再構成型ゲートアレイ VLSI を報告し,既存の 0.35μm プロセス光再構成型ゲートアレイ VLSI と比較・評価する.We have been developing a type of fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a peifectly parallel. The ORGA can be optically reconfigured in a very short time along with a lot of reconfiguration contexts. Therefore, this device can virtually implement large gates onto a programmable gate array with a dynamic reconfiguration. This papar presents a new 0.18 μm process ORGA-VLSI and comparison results of the new 0.18 μm process ORGA-VLSI with a conventional 0.35 process ORGA-VLSI.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成ゲートアレイの再構成速度調整手法

    余座 貴志, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   111 ( 323 )   13 - 17   2011年11月

     詳細を見る

  • レンズアレイを使用した高速動的再構成型ビジョンチップアーキテクチャ

    上窪 勇貴, 渡邊 実, 川人 祥二

    電子情報通信学会技術研究報告. ICD, 集積回路   111 ( 258 )   83 - 87   2011年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,ロボットや自動車などに自律制御機能が要求されており,リアルタイムに画像認識が可能な組み込みシステムの開発が急務になっている.しかし,既存の組み込みシステムはイメージセンサ,プロセッサチップ,メモリチップから構成されることが多く,1000フレーム/秒以上のリアルタイムな画像認識処理を実現する場合,プロセッサーメモリ間の情報転送スピードとプロセッサの処理スピードに問題があった.近年では分散処理を目指しビジョンチップも開発されてきたが,多機能なプロセッサや大容量のメモリをコンパクトに実装することが難しく,画像認識まで行えるビジョンチップは未だ開発されていない.そこで我々は細粒度のプログラマブルゲートアレイをベースに高速動的光再構成型ビジョンチップの開発を進めている.本稿ではレンズアレイを使用した高速動的再構成型ビジョンチップアーキテクチャの試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • レンズアレイを使用した高速動的再構成型ビジョンチップアーキテクチャ

    上窪 勇貴, 渡邊 実, 川人 祥二

    研究報告システムLSI設計技術(SLDM)   2011 ( 15 )   1 - 5   2011年10月

     詳細を見る

    記述言語:日本語  

    近年,ロボットや自動車などに自律制御機能が要求されており,リアルタイムに画像認識が可能な組み込みシステムの開発が急務になっている.しかし,既存の組み込みシステムはイメージセンサ,プロセッサチップ,メモリチップから構成されることが多く,1000 フレーム/秒以上のリアルタイムな画像認識処理を実現する場合,プロセッサメモリ間の情報転送スピードとプロセッサの処理スピードに問題があった.近年では分散処理を目指しビジョンチップも開発されてきたが,多機能なプロセッサや大容量のメモリをコンパクトに実装することが難しく,画像認識まで行えるビジョンチップは未だ開発されていない.そこで我々は細粒度のプログラマブルゲートアレイをベースに高速動的光再構成型ビジョンチップの開発を進めている.本稿ではレンズアレイを使用した高速動的再構成型ビジョンチップアーキテクチャの試験結果について報告する.In recent years, development of a high-speed image processing system is required for autonomous robots, cars, and so on. Since such embedded system must execute image processing operations at 1000 frames/s, there are issues in transferring image information between a processor chip and a memory chip and in processing it. Up to now, some vision chips including processing elements have been developed. However, such vision chip can execute only simple image operations and its performance is insufficient. Therefore, we have been developing a dynamically reconfigurable vision-chip architecture. This paper presents the experimental results of a dynamically reconfigurable vision-chip architecture using a lens array.

    CiNii Article

    CiNii Books

    researchmap

  • 位相ホログラムを用いた光再構成型ゲートアレイ

    渡邊 貴弘, 渡邊 実

    電子情報通信学会技術研究報告. OPE, 光エレクトロニクス   111 ( 37 )   1 - 5   2011年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    宇宙開発の重要性がますます高まる中で,任意の回路が実装できるFPGA(Field-programmable gate array)の宇宙応用が期待されている.特に再プログラム可能なFPGAは遠隔地に存在するシステムの仕様変更が容易であることから,人工衛星や探査機への応用が期待されている.しかし,再プログラム可能なFPGAは宇宙放射線の影響で回路情報が破壊される危険性がつきまとう.そこで我々は,レーザーアレイ,ホログラムメモリ,ゲートアレイVLSIを組み合わせた,高い故障耐性と高い処理能力を持つ光再構成型ゲートアレイを開発している.従来の光再構成型ゲートアレイは,光の利用効率が悪い振幅変調型ホログラムをホログラムメモリに用いていた.本稿では,ホログラムメモリにより効率の良い位相変調型ホログラムを導入した光再構成型ゲートアレイの試作結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 256コンテキストMEMS光再構成型ゲートアレイ

    山地 勇一郎, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   111 ( 31 )   121 - 125   2011年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々はホログラムメモリとゲートアレイVLSIを組み合わせ,ナノ秒オーダーの高速動的再構成が可能な光再構成型ゲートアレイの研究を進めている.その研究の中で,我々は電気的に書き換えが可能なMEMSデバイスをホログラムメモリとして用いたMEMS光再構成型ゲートアレイを提案している.本稿では,そのMEMS光再構成型ゲートアレイ上に世界最大規模となる256コンテキストを実装した試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • MEMSダイナミック光再構成型ゲートアレイにおけるMEMS組立精度

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. CPSY, コンピュータシステム   110 ( 361 )   151 - 156   2011年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,トランジスタの微細化による集積回路の性能の向上が鈍化しつつあることから,ゲートアレイの利用効率を高めて性能向上を図る動的再構成デバイスが注目されている.そのような中で,我々は,ホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成される光再構成型ゲートアレイの研究を進めている.この光再構成型ゲートアレイではホログラムメモリから高速に,かつ動的に回路情報を読み出すことができ,ゲートアレイVLSIを逐次的に書き換えながら処理を進めることができる.結果,仮想的に大規模なゲートが実現できる.そのような研究の中で,我々はホログラムの遠隔書き換えを可能にするMEMS光再構成型ゲートアレイの開発を進めている.本稿では,このMEMS光再構成型ゲートアレイのMEMS部の組み立て許容誤差を明らかにする.

    CiNii Article

    CiNii Books

    researchmap

  • ダイナミック光再構成型ゲートアレイの動的部分再構成の性能評価

    グンジャラム アマルジャルガル, 渡邊 実

    電子情報通信学会技術研究報告. ICD, 集積回路   110 ( 315 )   55 - 60   2010年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて動的再構成を実現する光再構成型ゲートアレイを開発している.その中でVLSIの高密度化を実現するダイナミック光再構成型ゲートアレイを提案してきた.このダイナミック光再構成アーキテクチュアではフォトダイオードを再構成用メモリとしても使用することから,動的再構成を繰り返すと回路の保持時間に影響を及ぼす.そこで,この度,そのダイナミック光再構成型ゲートアレイに対して,動的部分再構成試験を実施し,その影響について試験的に調査した.本稿ではその結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ゲートアレイの応用

    渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   110 ( 204 )   67 - 71   2010年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は現在,高速動的再構成を指向したデバイス,光再構成型ゲートアレイの研究を進めている.光再構成型ゲートアレイはホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成される光電子デバイスである.本稿では,その光再構成デバイスをべースとしたSISC(MISC)プロセッサ構想,宇宙組み込みシステム応用の研究の経過について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ゲートアレイにおけるMEMSアドレッシング技術

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   110 ( 204 )   73 - 77   2010年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,集積回路の単位時間あたりの情報処理量が急増する一方で,トランジスタの微細化による性能の向上が鈍化しつつあることから,ゲートアレイの利用効率を高めて性能向上を図る動的再構成デバイスが注目されている.そのような中で,我々は,ホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成される光再構成型ゲートアレイの研究を進めている.この光再構成型ゲートアレイには,仮想的に大規模なゲート規模を実現することができ,高速な再構成が可能という利点がある.本デバイスではホログラムメモリから回路情報を動的に読み出し,ゲートアレイVLSIを逐次的に書き換えながら処理を進めることができる.しかし,この光再構成型ゲートアレイではホログラムメモリ中のコンテキストを読み出すために1つのレーザが必要になることから多数のレーザの実装が必要であった.そこで,我々はMEMSの一種であるディジタルマイクロミラーデバイス(DMD:Digital Micromirror Device)を組み合わせ,1つのレーザで複数のコンテキストを選択できるアドレッシング手法を開発した.本稿では,その試作結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 64コンテキストMEMS光再構成型ゲートアレイ

    山地 勇一郎, 渡邊 実

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   110 ( 179 )   141 - 144   2010年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々はホログラムメモリとVLSIを組み合わせた,ナノ秒台で高速動的に再構成が可能な光再構成型ゲートアレイの開発を進めている.これまでの光再構成型ゲートアレイでは動作前にホログラムメモリに書き込みを行い,動作中はホログラムメモリを読み出し専用メモリとして用いてきた.しかし,より動的に多数のコンテキストを実装するためには,ホログラムメモリも動作中に書き換え可能であることが望ましい.そこで,電気的に書き換え可能なホログラムメモリとしてMEMSデバイスを導入し,そのMEMS上に64のコンテキストを実装した.本稿ではその64コンテキストMEMS光再構成型ゲートアレイのアーキテクチャとその試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ゲートアレイのレーザーアレイ故障の復旧方法

    渡辺 貴弘, 渡邊 実

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   110 ( 179 )   135 - 140   2010年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    宇宙開発の重要性がますます高まる中,ASIC(Application Specific Integrated Circuit)に比べて開発の時間とコストを削減できるプログラマブルデバイスに期待が集まっている.特に再プログラム可能なプログラマブルデバイスは仕様変更が容易であることから人工衛星や探査機への応用が期待されている.しかし,プログラマブルデバイス,特に再プログラム可能なFPGA(Field-programmable gate array)は,通常のVLSI(Very-large-scale integration)と異なり,宇宙放射線の影響で回路情報が破壊される危険性がつきまとう.そこで我々は,レーザーアレイ,ホログラムメモリ,ゲートアレイVLSIを組み合わせた,高い不良耐性と高い処理能力を持つ光再構成型ゲートアレイを開発している.しかし,この光再構成型ゲートアレイにも発生頻度は低いものの,致命的なレーザーアレイの故障モードが存在した.本稿では,その致命的な故障モードから復旧する手法と,その試験結果を示す.

    CiNii Article

    CiNii Books

    researchmap

  • 高速動的光再構成型イメージセンサによる4点のテンプレートマッチング

    中田 浩成, 渡邊 実

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   110 ( 179 )   145 - 148   2010年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,ロボットや自動車などに自律制御機能が要求されており,リアルタイムな画像処理が可能な組み込みシステムの開発が急務となっている.しかし,既存の組み込みシステムはイメージセンサと単一プロセッサから構成されることが多く,情報の転送と処理スピードに問題があった.リアルタイム画像処理には1000フレーム/秒以上の処理が必要であり,画像情報を転送することなく分散処理できることが望ましい.そのような背景からビジョンチップが開発されてきたが,多機能なプロセッサをコンパクトに実装することが難しく,画像認識までも行えるようなビジョンチップは未だ開発されていない.そこで我々は細粒度のゲートアレイをベースとした高速動的光再構成型イメージセンサの開発を進めている.本稿ではその高速動的光再構成型イメージセンサによる4点のテンプレートマッチングの試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 動的再構成を用いたイメージセンサ

    保田 真希, 渡邊 実

    電子情報通信学会技術研究報告. IE, 画像工学   109 ( 469 )   83 - 86   2010年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,ロボットや自動車などに自律制御機能が要求されており,リアルタイムな画像処理が可能な組み込みシステムの開発が急務となっている.しかし,既存の組み込みシステムはイメージセンサと単一プロセッサから構成されることが多く,情報の転送と処理スピードに問題があった.リアルタイム画像処理には1000フレーム/秒以上の処理が必要であり,画像情報を転送することなく分散処理できることが望ましい.そのような背景からビジョンチップが開発されてきたが,多機能なプロセッサをコンパクトに実装することが難しく,画像認識までも行えるようなビジョンチップは未だ開発されていない.そこで我々は細粒度のゲートアレイをベースとした高速動的光再構成型イメージセンサの開発を進めている.本稿ではその高速動的光再構成型イメージセンサの開発例と簡単な平滑化の画像処理の実装例を示す.

    CiNii Article

    CiNii Books

    researchmap

  • 差分光再構成手法に対する不良耐性

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. IE, 画像工学   109 ( 469 )   77 - 81   2010年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,画像処理やその他様々な分野における処理量が増大しており,それに伴う処理速度の向上が要求されている.しかし,トランジスタの微細化による集積回路の性能の向上が限界に達しつつある.そういったことから,ゲートアレイの利用効率を高めて性能向上を図る一つの手法として動的再構成デバイスが注目されている.そのような中で,我々は,ホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成される光再構成型ゲートアレイの研究を進めている.特徴として,仮想的に大規模なゲート規模を実現することができ,高速な再構成が可能という利点がある.本デバイスではホログラムメモリから回路情報を動的に読み出し,ゲートアレイVLSIを逐次的に書き換えながら処理を進めることができる.本稿では,光再構成の高速化手法の一つである差分光再構成手法が不良耐性の面で有効であることを実証する.

    CiNii Article

    CiNii Books

    researchmap

  • ダイナミック光再構成型ゲートアレイのブロック再構成

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. ICD, 集積回路   109 ( 405 )   19 - 23   2010年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて動的再構成を実現する光再構成型ゲートアレイを開発している.その中でVLSIの高密度化を実現するダイナミック光再構成型ゲートアレイを提案してきた.本稿では,このダイナミック光再構成型ゲートアレイで部分再構成を行うためのブロックリフレッシュ機能の実装について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光ファイバーを用いたリモートダイナミック光再構成型ゲートアレイ

    上野 由美子, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   109 ( 395 )   167 - 170   2010年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,トランジスタの微細化による集積回路の性能の向上が限界に達しつつあり,ゲートアレイの利用効率を高めて性能向上を図る1つの手法として動的再構成デバイスが注目されている.そのような中で,我々は光を用いて再構成を行う光再構成型ゲートアレイの研究を進めている.光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,レーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイVLSIを動的に書き換える新しいタイプのVLSIである.加えて光再構成型ゲートアレイのホログラムメモリ部とゲートアレイ部を分断し,その間をファイバーで結んだリモート光再構成型ゲートアレイの構築によって遠隔静的再構成を可能にした.本稿では,光再構成アーキテクチャにダイナミック光再構成型ゲートアレイを適用したリモートダイナミック光再構成型ゲートアレイについて報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 銀塩ホログラムを用いたプログラマブル光再構成型ゲートアレイ

    久保田 慎也, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   109 ( 395 )   175 - 179   2010年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,集積回路の微細化や大規模化の向上に伴い,リーク電流の増大,素子特性のばらつきの増大,それらに伴う消費電力の増大など,様々な問題点が顕在化してきている.そのため集積回路の高性能化,多機能化の鈍化に対する打開策として,光によって動的に再構成を行うことができる光再構成型ゲートアレイの研究が進められている.この光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成されている.光再構成型ゲートアレイはレーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイVLSIへと書き込むことによって,内部の回路を動的に書き換えていく新しいタイプのVLSIである.そのような光再構成型ゲートアレイの研究の中で,現在,ライターによって外部からホログラム内の回路情報が書き換え可能な新しいタイプの光再構成型ゲートアレイの研究が進められている.本稿では,初めて,高い分解能を持つ銀塩系ホログラムメモリを反射型ホログラムとして導入し,試験を行った結果とその有効性についてを報告する.

    CiNii Article

    CiNii Books

    researchmap

  • アナログコンテキストを用いたフォトダイオード特性の補正手法

    青山 裕司, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   109 ( 395 )   171 - 174   2010年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,様々な高速動的再構成デバイスが開発されている.そのような中で我々は光を用いて2次元的に再構成可能な光再構成型ゲートアレイの研究を進めている.現在,我々はコンテキスト情報を読み取るフォトダイオードをメモリとしても使用し,静的なメモリを全廃したダイナミック光再構成アーキテクチュアを提案している.このアーキテクチャの光再構成型ゲートアレイVLSIには多数のフォトダイオードが実装され,その出力がゲートアレイに直結されている.その為,フォトダイオードのばらつき,光学系を含めたばらつきが,再構成途中において回路の衝突などを引き起こしていた.そこで本稿では,ダイナミック光再構成型ゲートアレイのフォトダイオード等のばらつきをアナログコンテキストにより補正する手法を提案する.そして,その有効性を示す試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 4コンテキスト・リモート光再構成型ゲートアレイ

    上野 由美子, 渡邊 実

    電子情報通信学会技術研究報告. ICD, 集積回路   109 ( 336 )   117 - 120   2009年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて再構成を行う光再構成型ゲートアレイの研究を進めている.光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,レーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイVLSIを動的に書き換える新しいタイプのVLSIである.加えて光再構成型ゲートアレイのホログラムメモリ部とゲートアレイ部を分断し、その間をファイバーで結んだリモート光再構成型ゲートアレイの構築によって遠隔再構成を可能にした.本稿ではその研究をさらに進め,遠隔動的再構成を目的とした4個のマルチコンテキストを実装したリモート光再構成型ゲートアレイについて報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 宇宙放射線に対して高い耐性をもつ光バッファリング手法

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   109 ( 320 )   13 - 18   2009年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    ロケット,衛星,宇宙ステーション等で使用される組み込みデバイスは常に高いエネルギーを持つ宇宙放射線の影響を受けており,Single-event upsets(SEU),Single-event latch-ups(SEL)等の故障を想定する必要がある.そして,そういった組み込みデバイスに対して,故障発生時や仕様変更時に再プログラム可能なプログラマブルデバイスが期待されている.しかし,プログラマブルデバイスは通常のVLSIとは異なり,宇宙放射線の影響でコンテキスト情報まで破壊される危険性が常につきまとう.よって,本稿では不完全なコンテキスト情報から高速に正しく構成できる光バッファリング手法,無線高速復旧システムについて示す.

    CiNii Article

    CiNii Books

    researchmap

  • MEMSを用いた反転・非反転ダイナミック光再構成型ゲートアレイ

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   109 ( 320 )   7 - 12   2009年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて動的再構成を実現する光再構成型ゲートアレイを開発している.その中で高速再構成とVLSIの高密度化の利点を兼ね備えた反転・非反転ダイナミックアーキテクチュアを提案している.本稿ではMEMS技術を用いたデジタルミラーデバイスをホログラムメモリとして用い,この反転・非反転ダイナミックアーキテクチュアと組み合わせて再構成を行った結果を示し,アーキテクチュアの有効性を示す.

    CiNii Article

    CiNii Books

    researchmap

  • プコグラマブル光再構成型ゲートアレイのホログラム窓の影響解析

    久保田 慎也, 渡邊 実

    電子情報通信学会技術研究報告. DC, ディペンダブルコンピューティング : IEICE technical report   109 ( 316 )   101 - 105   2009年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,集積回路の高密度化,多機能化がますます求められているが,トランジスタの微細化が限界に達しつつあり,集積回路の進歩が難しくなってきている.そのため集積回路の高性能化,多機能化の鈍化に対する打開策として,光によって動的に再構成を行うことができる光再構成型ゲートアレイの研究が進められている.この光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成されている.光再構成型ゲートアレイはレーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報(回路情報)を選択的に読み出し,ゲートアレイVLSIへとコンテキスト情報を転送することによって,内部の回路を動的に書き換えていく新しいタイプのVLSIである.そのような光再構成型ゲートアレイの研究の中で,現在,ライターによって外部からホログラム内のコンテキスト情報を書き換え可能な新しいタイプの光再構成型ゲートアレイの研究が進められている.本稿では,プログラマブル光再構成型ゲートアレイにおいて,外部からコンテキスト情報を得るホログラム窓の傷,汚れの影響について評価した結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • アナログコンテキストを用いた光再構成の最適化手法

    青山 裕司, 渡邊 実

    電子情報通信学会技術研究報告. SIP, 信号処理 : IEICE technical report   109 ( 226 )   7 - 10   2009年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,様々な高速再構成可能なデバイスが開発されている.そのような中で我々は光を用いて2次元的に再構成可能な光再構成型ゲートアレイの研究を進めている.現在,我々はコンテキスト情報を読み取るフォトダイオードをメモリとしても使用し,静的なメモリを全廃したダイナミック光再構成アーキテクチュアを提案している.この光再構成では実装するコンテキスト情報により,コンテキスト情報をホログラムメモリから読み出す速度が変化する.そこで本稿ではアナログコンテキストを用いた光再構成の最適化手法を提案し,再構成時間を調節可能であることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • ディジタルミラーデバイスを用いた差分光再構成型ゲートアレイ

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. SIP, 信号処理 : IEICE technical report   109 ( 226 )   1 - 5   2009年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,トランジスタの微細化による集積回路の性能の向上が限界に達しつつあり,ゲートアレイの利用効率を高めて性能向上を図る動的再構成デバイスが注目されている.そのような中で,我々はホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成される光再構成型ゲートアレイの研究を進めている.仮想的に大規加模なゲート規模を実現することができ,高速な再構成が可能という利点がある.本デバイスではホログラムメモリから回路情報を動的に読み出し,ゲートアレイVLSIを逐次的に書き換えながら処理を進めることができる.この度,我々は動的に書き換えることができるホログラムの実装を目指し,差分光再構成型ゲートアレイにDMD(Digital Micromirror Device)を導入した.本稿では,この実装結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光ファイバを用いたリモート光再構成型ゲートアレイ

    上野 由美子, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   109 ( 201 )   63 - 66   2009年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて再構成を行う光再構成型ゲートアレイ(ORGA:Optically Reconfigurable Gate Array)の研究を進めている.光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,レーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイVLSIを動的に書き換える新しいタイプのVLSIである.この度は,この光再構成型ゲートアレイのホログラムメモリ部とゲートアレイ部を分断し、その間をファイバーで結び,遠隔動的再構成が可能なシステムを構築した.本稿ではその試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • MEMSダイナミック光再構成型ゲートアレイの不良耐性

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   109 ( 201 )   71 - 76   2009年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,LSIの回路資源を最大限活用し,処理能力を極限にまで高めることのできる動的再構成デバイスに注目が集まっている.我々はその中でも,レーザー,ホログラムメモリ,フォトダイオードを持つゲートアレイVLSIを組み合わせた光再構成型ゲートアレイを開発している.このデバイスでは,ホログラムメモリの大容量性と,光による大規模インターコネクションを活用し,大量の回路情報を高速かつ動的にゲートアレイVLSIにダウンロードすることが可能である.これにより,既存のLSIアーキテクチュアでは実現不可能なゲート規模のVLSIを仮想的に実現できる.また近年,MEMS技術が急激に発展しており,その中でも我々はホログラムデバイスとしてデジタルミラーデバイス(DMD)に注目している.DMDは光のエネルギー効率に優れ,また応答速度にも優れるため,従来不可能であったホログラムメモリの動的運用も期待できる.本稿では,DMDをホログラムデバイスとして用いたダイナミック光再構成型ゲートアレイのDMDの不良耐性について評価した結果について示す.

    CiNii Article

    CiNii Books

    researchmap

  • 負論理回路実装による光再構成の高速化手法

    森脇 烈, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   109 ( 201 )   67 - 70   2009年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は現在,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,光により高速動的再構成が可能な光再構成型ゲートアレイを研究開発している.本稿では,負論理実装により,コンテキスト情報の照射ビット数が削減でき,その結果,光再構成が高速化できることを試験的に示す.

    CiNii Article

    CiNii Books

    researchmap

  • レンズ結像系を用いない4コンテキストプログラマブル光再構成型ゲートアレイ用ライター

    久保田 慎也, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   109 ( 198 )   109 - 112   2009年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,集積回路の高密度化,多機能化などがますます求められているが,集積回路の進歩が難しくなってきている.そのため集積回路の高性能化の鈍化に対する打開策として,光によって動的に再構成を行うことができる光再構成型ゲートアレイの研究が進められている.この光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成されている.光再構成型ゲートアレイはレーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイVLSIへと回路情報を転送することによって,内部の回路情報を動的に書き換えていく新しいタイプのVLSIである.そのような光再構成型ゲートアレイの研究の中で,現在,ライターによって外部からホログラム内のコンテキスト情報が書き換え可能な新しいタイプの光再構成型ゲートアレイの研究が進められている.本稿では,レンズ結像系を用いない4コンテキストプログラマブル光再構成型ゲートアレイ用ライターについての試験結果を報告する.

    CiNii Article

    CiNii Books

    researchmap

  • デジタルミラーデバイスを用いた4コンテキスト光再構成

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   109 ( 174 )   37 - 40   2009年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,トランジスタの微細化による集積回路の性能の向上が限界に達しつつあり,ゲートアレイの利用効率を高めて性能向上を図る一つの手法として動的再構成デバイスが注目されている.そのような中で,我々は再構成デバイスの一種で,ホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成される光再構成型ゲートアレイの研究を進めている.本デバイスはホログラムメモリから回路情報を動的に読み出し,ゲートアレイVLSIを逐次的に書き換えながら処理を進めることができる動的再構成デバイスである.仮想的に大規模なゲート規模を実現することができ,高速な再構成が可能という利点がある.しかし,より少ないパワーで高速な再構成を実現するためには高効率なホログラムが必要である.そこで,ホログラム部に開口率が高く,回折効率が良いDMD(Digital Micromirror Device)を用いて,4つのコンテキストを実装し再構成を行った試験結果を報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 36コンテキストダイナミック光再構成型ゲートアレイ

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   109 ( 174 )   41 - 46   2009年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は次世代の大容量メモリであるホログラムメモリとプログラム可能なゲートアレイを組み合わせることにより仮想大規模ゲートの実現とVLSIの性能向上が可能な光再構成型ゲートアレイの研究を行っている.加えて再構成コンテキストを読み取るフォトダイオードをダイナミックメモリとしても使用することによりVLSIのさらなる高ゲート規模化を実現するダイナミック光再構成型ゲートアレイの研究も行っている.これまでダイナミック光再構成型ゲートアレイにおいてナノ秒オーダの高速再構成,16コンテキストの実装に成功してきた.本稿では,その研究をさらに進め,既存のマルチコンテキストデバイスを超える36個の再構成コンテキストを実装したダイナミック光再構成型ゲートアレイについて報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 不揮発性体積型ホログラムメモリを用いたダイナミック光再構成型ゲートアレイの故障耐性

    間渕 隆之, 宮城 賢二, 渡邊 実, 荻原 昭文

    電子情報通信学会技術研究報告. CAS, 回路とシステム   109 ( 110 )   109 - 112   2009年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は従来のLSI技術に光メモリ技術を組み合わせることで高速に演算を行うダイナミック光再構成型ゲートアレイ(ORGA:Otipcally Reconfigurable Gate Array)の研究開発を進めている.光再構成型ゲートアレイはレーザアレイ,ホログラムメモリ,ゲートアレイVLSIの3つの要素で構成され,ホログラムメモリから完全並列に再構成コンテキストを読み出すことにより,高速な再構成が可能である.このデバイスは構造上,高い不良耐性を持つため,我々は宇宙分野への応用に関しても研究を進めている.今回は不揮発性体積型液晶ホログラムメモリを導入したダイナミック光再構成型ゲートアレイの不良耐性に関する研究結果を報告する.

    CiNii Article

    CiNii Books

    researchmap

  • デジタルミラーデバイスを用いた光再構成

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. CAS, 回路とシステム   109 ( 110 )   113 - 116   2009年6月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,トランジスタの微細化による集積回路の性能の向上が限界に達しつつあり,性能向上の一つの手段として再構成デバイスに注目が集まっている.そのような中で我々は,再構成デバイスの一種,ホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成される光再構成型ゲートアレイの研究を進めている.このデバイスではレーザ光源によってホログラムメモリから回路情報を選択的に読み出し,ゲートアレイVLSIを逐次的に書き換えながら処理をすることが可能である.仮想的に大規模なゲート規模が実現できることと,パラレルに転送により高速な再構成が可能という利点がある.しかし,より少ないパワーで高速な再構成を実現するためにはより高効率なホログラムメモリが必要である.そこで,この度は、DMD(Digital Micromirror Device)をホログラムメモリとして使用した新しい光再構成技術について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 16コンテキスト光再構成型ゲートアレイ

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. CS, 通信方式   108 ( 455 )   61 - 65   2009年2月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    現在のVLSI技術の代替を目指し,光再構成ゲートアレイの研究が進められている.光再構成型ゲートアレイは大容量のホログラムメモリとプログラム可能なVLSIが組み合わされたもので,仮想的に大規模なゲートアレイが実現できるとともに,ホログラムメモリとVLSI間の光配線によって高速かつ動的な再構成が可能である.そのような研究の中で,再構成コンテキストを読み取るフォトダイオードをダイナミックメモリとしても使用することによりVLSIの大規模ゲート化を実現できるダイナミック光再構成型ゲートアレイの研究も進められている.本稿ではホログラムメモリ内に16個の再構成コンテキストを持つダイナミック光再構成型ゲートアレイの開発結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 不揮発性液晶ホログラムメモリを用いた光再構成型ゲートアレイ

    間渕 隆之, 宮城 賢二, 渡邊 実, 荻原 昭文

    電子情報通信学会技術研究報告. CS, 通信方式   108 ( 455 )   67 - 70   2009年2月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光によって再構成を行う光再構成型ゲートアレイ(ORGA:Otipcally Reconfigurable Gate Array)の研究を進めている.光再構成型ゲートアレイはレーザアレイ,ホログラムメモリ,ゲートアレイVLSIの3つの要素で構成され,ホログラムメモリから完全並列に再構成コンテキストを読み出すことにより,高速な再構成が可能である.本稿では不揮発性で光書き込み可能なデバイスを使用した光再構成型ゲートアレイの試験結果について示す.

    CiNii Article

    CiNii Books

    researchmap

  • 9 コンテキスト・プログラマブル光再構成型ゲートアレイとライター

    久保田 慎也, 渡邊 実

    研究報告システムLSI設計技術(SLDM)   2009 ( 7 )   37 - 40   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,将来予想される集積回路の高性能化の鈍化に対する打開策として,光によって動的に再構成ができる光再構成型ゲートアレイの研究が進められている.この光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイ VLSI から構成され,レーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイ VLSI を動的に書き換えていく新しいタイプの VLSI である.しかし,これまでの研究ではホログラム内の回路情報を書き換える事については考慮されていなかった.そこで我々は,ライターを用いることによって外部からホログラムメモリを書き換える事ができる新しいタイプの光再構成型ゲートアレイの開発を進めている.本稿では,光メモリ部に 9 つのコンテキストまで実装できることを実証した試験結果について報告する.Recently, optically reconfigurable gate arrays (ORGAs) that can realize dynamic reconfiguration have been developed. The ORGAs consists of a holographic memory, a laser array, and a gate array VLSI. ORGAs are new type VLSIs, the gate arrays of which are optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. However, conventional ORGAs have one important shortcoming. They cannot be reprogrammed after fabrication. Therefore, to improve that shortcoming, we have been developing a programmable optically reconfigurable gate array architecture. So, this paper presents the demonstration of a programmable 9-contexts optically reconfigurable gate arrays and its writer.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00061224/

  • 4 コンテキスト光再構成型ゲートアレイの実証

    間渕 隆之, 渡邊 実

    研究報告システムLSI設計技術(SLDM)   2009 ( 7 )   41 - 44   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    我々は光によって再構成を行う光再構成型ゲートアレイ (ORGA: Optically Reconfigurable Gate Array) の研究を進めている.光再構成型ゲートアレイはレーザアレイ,ホログラムメモリ,ゲートアレイ VLSI の 3 つの要素で構成され,ホログラムメモリから完全並列に再構成コンテキストを読み出すことにより,高速な再構成が可能である.本稿では 4 つのレーザーを実装した 4 コンテキスト光再構成型ゲートアレイに関する研究成果について報告する.また,複数のレーザー光の重ね合わせにより,より高速に再構成が可能になることを示す.Optically reconfigurable gate arrays (ORGAs) consist of a gate array VLSI, a holographic memory and a laser diode array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. This paper shows the perfect demonstration of a four-context Optically Reconfigurable Gate Array. Also, the experimental results of a superimposing technique are presented.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00061225/

  • 反転・非反転ダイナミック光再構成アーキテクチャの比較評価

    加藤 進一, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   108 ( 412 )   45 - 50   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて再構成を行う光再構成型ゲートアレイ(ORGA:Optically Reconfigurable Gate Array)の研究を進めている.光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,光によって2次元的に回路情報が書き込まれることから高速な再構成が可能である.さらに光メモリに大量の回路情報を保有できるため,仮想的に大規模なゲートアレイが実現可能である.その中で我々は,高密度の利点を持つダイナミック光再構成アーキテクチャ,高速再構成の利点を持つ差分光再構成アーキテクチャ,その双方の利点を持つ反転・非反転ダイナミック光再構成アーキテクチャを提案している.本稿では,同一条件下による,それぞれのアーキテクチャの設計結果を示し,実装面積,ゲート数について比較評価する.また,反転・非反転ダイナミック光再構成アーキテクチャの高速再構成試験の結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 4コンテキスト光再構成型ゲートアレイの実証

    間渕 隆之, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   108 ( 412 )   41 - 44   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光によって再構成を行う光再構成型ゲートアレイ(ORGA:Optically Reconfigurable Gate Array)の研究を進めている.光再構成型ゲートアレイはレーザアレイ,ホログラムメモリ,ゲートアレイVLSIの3つの要素で構成され,ホログラムメモリから完全並列に再構成コンテキストを読み出すことにより,高速な再構成が可能である.本稿では4つのレーザーを実装した4コンテキスト光再構成型ゲートアレイに関する研究成果について報告する.また,複数のレーザー光の重ね合わせにより,より高速に再構成が可能になることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 9コンテキスト・プログラマブル光再構成型ゲートアレイとライター

    久保田 慎也, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   108 ( 412 )   37 - 40   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,将来予想される集積回路の高性能化の鈍化に対する打開策として,光によって動的に再構成ができる光再構成型ゲートアレイの研究が進められている.この光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,レーザアレイ部からの光の照射によってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイVLSIを動的に書き換えていく新しいタイプのVLSIである.しかし,これまでの研究ではホログラム内の回路情報を書き換える事については考慮されていなかった.そこで我々は,ライターを用いることによって外部からホログラムメモリを書き換える事ができる新しいタイプの光再構成型ゲートアレイの開発を進めている.本稿では,光メモリ部に9つのコンテキストまで実装できることを実証した試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 反転・非反転ダイナミック光再構成アーキテクチャの比較評価

    加藤 進一, 渡邊 実

    研究報告システムLSI設計技術(SLDM)   2009 ( 7 )   45 - 50   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    我々は光を用いて再構成を行う光再構成型ゲートアレイ (ORGA: Optically Reconfigurable Gate Array) の研究を進めている.光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイ VLSI から構成され,光によって 2 次元的に回路情報が書き込まれることから高速な再構成が可能である.さらに光メモリに大量の回路情報を保有できるため,仮想的に大規模なゲートアレイが実現可能である.その中で我々は,高密度の利点を持つダイナミック光再構成アーキテクチャ,高速再構成の利点を持つ差分光再構成アーキテクチャ,その双方の利点を持つ反転.非反転ダイナミック光再構成アーキテクチャを提案している.本稿では,同一条件下による,それぞれのアーキテクチャの設計結果を示し,実装面積,ゲート数について比較評価する.また,反転.非反転ダイナミック光再構成アーキテクチャの高速再構成試験の結果について報告する.We have been developing Optically Reconfigurable Gate Arrays (ORGAs) which can be reconfigured optically. The ORGAs consist of a laser array, a hologram memory, a programmable gate array VLSI. ORGAs can realize a large virtual gate count that is much larger than those of current VLSI chips by exploiting the large storage capacity of a holographic memory. Also, ORGAs can realize fast reconfiguration through use of large bandwidth optical connections between a holographic memory and a programmable gate array VLSI. Among such developments, we have proposed a dynamic optically reconfigurable gate array using a photodiode memory architecture to realize huge gate count VLSI, an optically differential reconfigurable gate array using a differential reconfiguration strategy to achieve a fast reconfiguration capability, and an inversion/noninversion optically reconfigurable architecture that combines both architectures to realize both advantages of rapid configuration and high gate count. This paper shows the estimation results of the three architectures under a same condition and fast configuration experimental results of the inversion/noninversion optically reconfigurable architecture.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00061226/

  • 9コンテキスト光再構成ゲートアレイによる高速再構成

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. CAS, 回路とシステム   108 ( 388 )   63 - 67   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    ホログラムメモリの大容量性と光によるパラレル転送により,高速な再構成かつ膨大な再構成コンテキスト数を実現する光再構成型ゲートアレイの研究が行われている.この光再構成型ゲートアレイは動的再構成を行うことによって,仮想的に現在のVLSIを超えるゲート規模を実現する可能性がある.加えて,回路情報を受光するフォトダイオードをダイナミックメモリとしても使用することによりVLSIの大規模化を実現するダイナミック光再構成型ゲートアレイの研究も行われている.本稿では,ホログラムメモリ内に9つの再構成コンテキストを実装し,ダイナミック光再構成型ゲートアレイにおいて高速再構成試験を行った結果について示す.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ゲートアレイの組み立て誤差補正手法

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. CAS, 回路とシステム   108 ( 388 )   59 - 62   2009年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々はホログラムメモリ,レーザアレイ,ゲートアレイVLSIから成る光再構成型ゲートアレイの研究を進めている.この光再構成型ゲートアレイでは,レーザアレイを利用してホログラムメモリから回路情報を選択的に読み出し,ゲートアレイVLSIに高速にプログラムすることが可能である.しかし,この光再構成型ゲートアレイでは,従来のVLSIには無い,光学部品間のアライメントが必要となり,組み立て許容精度が問題となっていた.そこで我々は,予め組み立て誤差を予測したホログラムを多数準備しておき,各部品の実装誤差を測定することなく補正する技術について研究を進めてきた。本稿では,ゲートアレイ部、ホログラムメモリ部、レーザアレイ部の全てに対する補正試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • ダイナミック光再構成型ゲートアレイのゲートアレイ部の性能評価

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. ICD, 集積回路   108 ( 347 )   73 - 78   2008年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Article

    CiNii Books

    researchmap

  • 9コンテキスト差分光再構成型ゲートアレイの高速再構成試験

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. ICD, 集積回路   108 ( 347 )   67 - 72   2008年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    動的再構成デバイスにおいて高速な再構成と再構成コンテキスト数の増大は必要不可欠な要素である.そこで大容量のホログラムメモリと光によるパラレル転送により,高速な再構成かつ膨大な再構成コンテキスト数を実現する光再構成型ゲートアレイの研究が行われている.加えて,我々は光再構成においてさらに高速な再構成を実現する差分光再構成型ゲートアレイの研究を進めている.本稿では,ホログラムメモリ内に9つのコンテキストを実装し,高速再構成試験を行った結果について示す.

    CiNii Article

    CiNii Books

    researchmap

  • 反転・非反転ダイナミック光再構成アーキテクチャのエミュレーション試験

    加藤 進一, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   108 ( 300 )   1 - 4   2008年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて再構成を行う光再構成型ゲートアレイ(ORGA:Optically Reconfigurable Gate Array)の研究を進めている.光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,光によって2次元的に回路情報が書き込まれることから高速な再構成が可能である.さらに光メモリに大量の回路情報が保有できるため,仮想的に大規模なゲートアレイが実現可能である.その中で我々は,高密度の特徴,高速再構成の特徴の両方を併せ持つ反転・非反転ダイナミック光再構成アーキテクチャを提案している.本稿では既存の光再構成型ゲートアレイVLSIをカスタマイズし,反転・非反転ダイナミック光再構成アーキテクチャをエミュレーションした試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ゲートアレイのホログラム部の組み立て精度について

    森田 裕宣, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   108 ( 300 )   5 - 8   2008年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は,ホログラムメモリ,レーザアレイ,ゲートアレイVLSIから成る光再構成型ゲートアレイの開発を進めている.この光再構成型ゲートアレイはレーザアレイを利用してホログラムメモリから回路情報を選択的に読み出し,高速にゲートアレイVLSIに回路情報をプログラムすることが可能である.しかし,この光再構成型ゲートアレイには従来のVLSIには無い,光学部品間のアライメントが必要となり,組み立て許容精度が問題となっていた.よって,本稿ではホログラムメモリ部位に関する組み立て許容精度を明らかにするとともに,ホログラムに補正をかけることで,誤差を補正する技術について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 大規模光再構成型ゲートアレイにおけるホログラムメモリの不良耐性

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   108 ( 292 )   21 - 25   2008年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    今日,通信衛星やロケットなどに宇宙放射線に強い耐性を持ち,かつ高性能であるデバイスが求められており,その実現に向けて光再構成型ゲートアレイの研究が進められている.光再構成型ゲートアレイはホログラムメモリ,レーザアレイ,ゲートアレイVLSIから構成されており,光学的に並列プログラミングが可能な事から,どのような箇所に故障が生じても確実に復旧できる特徴を持っている.この高い不良耐性は,ホログラムメモリの高いロバスト性に基づく.本稿では,ダイナミックアーキテクチュアにおいてもその高い不良耐性が実現できることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 13.75ns高速ホログラム光再構成

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス   108 ( 292 )   15 - 19   2008年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は大容量のホログラムメモリと光配線によるプログラマブルなゲートアレイへの高速かつパラレルなデータ転送によって仮想的に大規模ゲートを実現する光再構成型ゲートアレイの研究を行っている。その中でもさらに高速な再構成を実現する差分光再構成型ゲートアレイの研究を進めている.本稿では,13.75nsでの高速光再構成の試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 高速ダイナミック光再構成型ゲートアレイVLSI

    加藤 進一, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   108 ( 224 )   61 - 65   2008年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は光を用いて再構成を行う光再構成型ゲートアレイ(ORGA:Optically Reconfigurable Gate Array)の研究を進めている.光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,光によって2次元的に回路情報が書き込まれることから高速な再構成が可能である.さらに光メモリに大量の回路情報を保有できるため,仮想的に大規模なゲートアレイが実現可能である.その中で,我々は光再構成型ゲートアレイVLSIの高密度化(ダイナミック光再構成アーキテクチャ)と再構成速度のさらなる高速化(差分光再構成アーキテクチャ)を目指してきた.それぞれ,世界最大規模のゲートアレイの実現と,世界最高速の光再構成試験に成功しているが,それら双方の利点を有する光再構成型ゲートアレイは実現されていなかった.そこで,本稿ではこの双方の利点を持つ新しい高速ダイナミック光再構成アーキテクチャを提案する.そして,その設計結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • PAL-SLMを用いたプログラマブルなマルチコンテキスト光再構成型ゲートアレイ

    久保田 慎也, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   108 ( 224 )   67 - 70   2008年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,光によって高速に再構成が可能な光再構成型ゲートアレイが開発されている.この光再構成型ゲートアレイは,レーザアレイ,ホログラムメモリ,ゲートアレイVLSIから構成され,レーザアレイによってホログラムメモリ内に記憶されたコンテキスト情報を選択的に読み出し,ゲートアレイを動的に書き換えていく新しいタイプのVLSIである。このように光再構成型ゲートアレイは非常に高性能な次世代のVLSIであるが,これまでの光再構成型ゲートアレイではホログラムメモリ内の情報を簡単に書き換えることができない欠点があった。そこで我々は,外部からホログラムメモリの情報を書き換える事ができる新しいタイプの光再構成型ゲートアレイとライターの開発を進めている.本稿では,マルチコンテキストの実装試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 大規模光再構成型ゲートアレイにおける高速再構成試験

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   108 ( 224 )   55 - 59   2008年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    次世代の大容量メモリとして期待されているホログラムメモリをプログラマブルデバイスに組み合わた光再構成型ゲートアレイの研究が進められている.この光再構成型ゲートアレイでは仮想的に既存のVLSIを超える大規模ゲート数のVLSIが実現できると期待されている.しかし,光再構成型ゲートアレイにおいても瞬間的な処理速度はVLSIの実ゲート規模に依存する.そこで回路情報を受けとるフォトダイオードをメモリとしても使用することによってゲートアレイVLSIそのものの大規模化を可能にするダイナミック光再構成型ゲートアレイの研究が行われている.本稿ではダイナミック光再構成型ゲートアレイにおいて現在最大規模であるチップを使用し,高速再構成試験を行った結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 大規模ゲート光再構成型ゲートアレイの保持時間の測定

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   108 ( 220 )   51 - 55   2008年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,様々な高速再構成可能なデバイスが開発されている.そのような中で我々は光を使い2次元的に再構成可能な光再構成型ゲートアレイの研究を進めている.このデバイスではホログラムメモリを使用して動的再構成を行う事により,仮想的に大規模ゲートが実現できる.我々は,回路情報を読み取るフォトダイオードをメモリとしても使用するダイナミックアーキテクチュアを使用することで,1万ゲートを超える世界大規模の光再構成型ゲートアレイチップを開発した.本稿では,レーザースイッチングによる最適照射を行った際の,1万ゲート光再構成型ゲートアレイVLSIチップの保持時間の評価結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 大規模ダイナミック光再構成型ゲートアレイVLSIの評価

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   108 ( 192 )   27 - 31   2008年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,様々な高速再構成可能なデバイスが開発されている.そのような中で我々は光を使い2次元的に再構成可能な光再構成型ゲートアレイの研究を進めている.このデバイスでは,動的再構成を行う事により仮想的に大規模なゲートアレイを実現し,回路資源の使用効率を極限にまで高めることができる.本稿では,現在,光再構成型ゲートアレイとして世界最大規模である1万ゲートのダイナミック光再構成型ゲートアレイVLSIチップの評価結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 4コンテキストDORGAにおける高速再構成

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. CPM, 電子部品・材料   108 ( 192 )   21 - 26   2008年8月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,大容量のホログラムメモリとプログラマブルデバイスを組み合わせることによって仮想的に大規模回路を実現する光再構成型ゲートアレイの開発が行われている.その中でも回路情報を受光するフォトダイオードをメモリとしても使用することによりゲートアレイの高密度化を実現するダイナミック光再構成型ゲートアレイの研究が進められている.本稿では,液晶ホログラム上に複数のコンテキストを実装し,ダイナミック光再構成型ゲートアレイにおいて高速再構成試験を行った結果について示す.

    CiNii Article

    CiNii Books

    researchmap

  • 銀塩ホログラムメモリを用いたマルチコンテキスト・ダイナミック光再構成型ゲートアレイ

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   108 ( 48 )   61 - 64   2008年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,様々な高速再構成可能なデバイスが開発されている.そのような中で我々は光を使い2次元的に再構成可能な光再構成型ゲートアレイの研究を進めている.このデバイスでは回路情報を記録するメモリとしてホログラムメモリを使用している.これまでは,液晶ホログラムを使用してマルチコンテキストの実装試験を進めてきたが,この度はさらに高密度記録を目指し,高い分解能を持つ銀塩系ホログラムメモリをダイナミック光再構成型ゲートアレイに導入した.本稿では複数のコンテキストを銀塩ホログラムメモリに記録し,ダイナミック光再構成型ゲートアレイで再構成を行った試験結果について報告する.そして,銀塩系ホログラムメモリがダイナミック光再構成型ゲートアレイに有効であることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 4コンテキスト光再構成型ゲートアレイによる高速再構成

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   108 ( 48 )   65 - 69   2008年5月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,再構成デバイスにおいてホログラムメモリに多数のコンテキスト保持し,光転送による高速再構成が可能な光再構成型ゲートアレイが開発されている.しかし,初期の光再構成型ゲートアレイの再構成時間はマイクロ秒オーダーと十分に高速とは言えず,また,再構成処理の間ゲートアレイが使用できない問題があった.そこで我々は,ナノ秒での再構成が可能で,かつ再構成処理中もゲートアレイの使用が可能な差分光再構成型ゲートアレイを開発している.本稿では,液晶ホログラム上に4つのコンテキストを実装し,差分光再構成型ゲートアレイにおいて高速動的再構成試験を行った結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリに対する不良耐性解析

    篠原 功次, 渡邊 実

    情報処理学会研究報告システムLSI設計技術(SLDM)   2008 ( 2 )   131 - 135   2008年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,高速な情報処理を可能とする光再構成型ゲートアレイが開発されている.この光再構成ゲートアレイはレーザアレイ,ホログラムメモリ,光書き込みゲートアレイVLSIから構成され,ホログラムメモリ内に多数のコンテキスト情報を蓄えることが可能で,また高速な再構成が可能である.また別の特徴として,光再構成型ゲートアレイでは光による完全並列なプログラミングが可能なことからゲートアレイの不良・故障部位を回避して使用することが可能である.しかし,この回避はホログラムメモリから正しい回避情報が書き込まれることが前提であり,ホログラムメモリそのものには高い不良耐性が必要となる。本稿では,そのホログラムメモリにインパスルノイズ,ガウスノイズを印加し,光再構成に与える影響について報告する.Recently, optically reconfigurable gate arrays (ORGAs) that enable high information processing speed are being developed. Such optically reconfigurable gate arrays consist of a laser diode array, a holographic memory, and a gate array VLSI, allowing fast reconfigurations and numerous reconfiguration contexts. Since an ORGA-VLSI can be programmed optically and in perfectly parallel, even if its gate array includes defect portions, the gate array can be used by avoiding such defect portions and by programming the same circuit to the other area. However, it is required that a holographic memory to support such programming must be dependable. So, this paper clarifies noise tolerance properties of holographic configurations.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00026858/

  • ダイナミック光再構成型ゲートアレイの高速再構成試験

    中島 真央, 渡邊 実

    情報処理学会研究報告システムLSI設計技術(SLDM)   2008 ( 2 )   127 - 130   2008年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,高速な再構成と大容量のコンテキストの実現を目指して,ホログラムメモリを使用した光再構成型ゲートアレイが開発されている.その中で,我々は光再構成型ゲートアレイのVLSI内部から回路情報を保持するための静的メモリを除き,代わりにコンテキスト情報を読み取るフォトダイオードをメモリとしても使用するダイナミック光再構成型ゲートアレイの開発を行っている.本稿では,このダイナミック光再構成型ゲートアレイの高速再構成の性能評価を行った試験結果について報告する.Recently, in order to realize fast reconfigurations and numerous reconfiguration contexts, Optically Reconfigurable Gate Array (ORGAs) has been developed. Also, we have proposed a dynamic optically reconfigurable gate array (DORGA) architecture that perfectly removes a static configuration memory to store a single configuration context and uses photodiodes as a configuration memory. So, this paper presents experimental results estimating a fast reconfiguration capability of the DORGA architecture.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00026857/

  • ダイナミック光再構成アーキテクチュアの連続再構成における保持時間の解析

    瀬戸 大作, 渡邊 実

    情報処理学会研究報告システムLSI設計技術(SLDM)   2008 ( 2 )   121 - 125   2008年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    近年,高速再構成可能なデバイスの研究開発が活発化している.我々はそのような中で光を使い2次元的に高速再構成が可能な光再構成型ゲートアレイについて研究を進めている.その光再構成型ゲートアレイにおいて,我々は回路情報を読み取るフォトダイオードを回路情報を保持するメモリとしても使用することによって,大規模なゲート数を実現するダイナミック光再構成アーキテクチュアを提案している.しかし,このアーキテクチュアではある回路の動的再構成処理の回折光が他の動作中の回路の保持時間に悪影響を与えることが懸念されていた.そこで,動作中の回路の近傍で動的再構成を行い,その動作中の回路が受ける影響について調査した.本稿ではこの試験結果を示し、連続再構成下においてもダイナミック光再構成アーキテクチュアが有効であることを示す.Recently, various fast reconfigurable devices have been developed. We have been developing a type of such fast reconfigurable devices, Optically Reconfigurable Gate Arrays (ORGAs) that can be reconfigured with optical components in a perfectly parallel. In such ORGAs, we have proposed a dynamic optically reconfigurable gate array (DORGA) architecture that uses photodiode as dynamic memory to store a configuration context, achieving large gates. However, it was concerned that when a certain circuit is programmed to a gate array and works on it, and the other configuration is applied into the different gate array area, the configuration of the other area may affect the working circuit and may reduce the retention time of the working circuit. So, the influence was investigated. This paper shows experimental results demonstrating that the DORGA architecture is effective under dynamic reconfigurations.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00026856/

  • 光再構成型ゲートアレイのホログラムメモリに対する不良耐性解析

    篠原 功次, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   107 ( 415 )   53 - 57   2008年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,高速な情報処理を可能とする光再構成型ゲートアレイが開発されている.この光再構成ゲートアレイはレーザアレイ,ホログラムメモリ,光書き込みゲートアレイVLSIから構成され,ホログラムメモリ内に多数のコンテキスト情報を蓄えることが可能で,また高速な再構成が可能である.また別の特徴として,光再構成型ゲートアレイでは光による完全並列なプログラミングが可能なことからゲートアレイの不良・故障部位を回避して使用することが可能である.しかし,この回避はホログラムメモリから正しい回避情報が書き込まれることが前提であり,ホログラムメモリそのものには高い不良耐性が必要となる.本稿では,そのホログラムメモリにインパスルノイズ,ガウスノイズを印加し,光再構成に与える影響について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • ダイナミック光再構成型ゲートアレイの高速再構成試験

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   107 ( 415 )   49 - 52   2008年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,高速な再構成と大容量のコンテキストの実現を目指して,ホロゲラムメモリを使用した光再構成型ゲートアレイが開発されている.その中で,我々は光再構成型ゲートアレイのVLSI内部から回路情報を保持するための静的メモリを除き,代わりにコンテキスト情報を読み取るフォトダイオードをメモリとしても使用するダイナミック光再構成型ゲートアレイの開発を行っている.本稿では,このダイナミック光再構成型ゲートアレイの高速再構成の性能評価を行った試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • ダイナミック光再構成アーキテクチュアの連続再構成における保持時間の解析

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   107 ( 415 )   43 - 47   2008年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,高速再構成可能なデバイスの研究開発が活発化している.我々はそのような中で光を使い2次元的に高速再構成が可能な光再構成型ゲートアレイについて研究を進めている.その光再構成型ゲートアレイにおいて,我々は回路情報を読み取るフォトダイオードを回路情報を保持するメモリとしても使用することによって,大規模なゲート数を実現するダイナミック光再構成アーキテクチュアを提案している.しかし,このアーキテクチュアではある回路の動的再構成処理の回折光が他の動作中の回路の保持時間に悪影響を与えることが懸念されていた.そこで,動作中の回路の近傍で動的再構成を行い、その動作中の回路が受ける影響について調査した。本稿ではこの試験結果を示し、連続再構成下においてもダイナミック光再構成アーキテクチュアが有効であることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 動的再構成のための配置配線の一手法

    日高 亮, 小林 史典, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   107 ( 341 )   13 - 17   2007年11月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,動的再構成デバイスが実用的に使われ始めているが,時間とともに回路が変化するので,その上に構成する回路の配置配線に,従来の手法は使えない.そこで1つの試みとして,プロセスの微細化に伴う配線遅延の問題を重視してToronto大学のV.Betzらが開発したFPGA用配置配線ツールを,動的再構成向けにカスタマイズすることを行った.

    CiNii Article

    CiNii Books

    researchmap

  • マルチコンテキスト光再構成ゲートアレイによる高速動的再構成

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   107 ( 296 )   7 - 12   2007年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,光メモリ内に複数のコンテキストを保持し,光による並列的な再構成が可能な光再構成型ゲートアレイが開発されている.しかし,初期の光再構成型ゲートアレイの再構成はマイクロ秒オーダーと十分に高速とは言えず,また,再構成処理の間ゲートアレイが使用できない問題があった.そこで我々は,ナノ秒での再構成が可能で,かつ再構成処理中もゲートアレイの使用が可能な差分光再構成型ゲートアレイを開発している.本稿では,液晶ホログラム上に複数のコンテキストを実装し,差分光再構成型ゲートアレイにおいて高速動的再構成試験を行った結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • コンテキスト重ね合わせによる高速光再構成

    山口 直樹, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   107 ( 296 )   13 - 16   2007年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,光によって高速に再構成が可能な光再構成型ゲートアレイが開発されている.我々は光メモリとして液晶ホログラムを用いた光再構成型ゲートアレイを開発しており,これまでに4コンテキストの実装にまで成功している.このような研究の中で,我々はレーザのパワーを上げることなくコンテキストの重ね合わせによって光再構成を高速化する手法を提案している.本稿では,4つまでのコンテキストを重ね合わせ,再構成を高速化した試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 照射時間を一定としたダイナミック光再構成型ゲートアレイの保持時間の解析

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. VLD, VLSI設計技術   107 ( 296 )   1 - 6   2007年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,様々な高速再構成可能なデバイスが開発されている.そのような中で我々は光を使い2次元的に再構成可能な光再構成型ゲートアレイの研究を進めている.現在,我々はコンテキスト情報を読み取るフォトダイオードをメモリとしても使用し,静的なメモリを全廃したダイナミック光再構成アーキテクチュアを提案している.このアーキテクチュアでは,実装する回路によってレーザーの最適な照射時間が異なるが,一般的に,それらを一定とすることが多い.しかし,この最適化を行わない事による回路の保持時間への影響が懸念されきた.本稿では,レーザー照射時間を一定にした状態でも,本アーキテクチュアが有効である事を,再構成時間の異なる2種類の回路を実装し,それらの再構成時間と保持時間を明らかにすることで示す.

    CiNii Article

    CiNii Books

    researchmap

  • ダイナミック光再構成アーキテクチュアの再構成時間と保持時間の測定

    瀬戸 大作, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   107 ( 225 )   29 - 33   2007年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,高速再構成可能な様々なデバイスが開発されているが,我々は光を使い2次元的に高速再構成可能な光再構成型ゲートアレイについて研究を進めている.これまでの光再構成ゲートアレイでは,回路情報を保持する為に静的なメモリをVLSI内部に実装していたが,この静的なメモリが光再構成型ゲートアレイVLSIの高集積化を阻んでいた.そこで,我々は,コンテキスト情報を読み取るフォトダイオードをメモリとしても使用し,再構成に使用する静的なメモリを全廃したダイナミック光再構成アーキテクチュアを提案している.本稿では,このアーキテクチュアがホログラムメモリと組み合わされた状態でも有効な事を,実装回路の再構成時間と保持時間を明らかにすることで示す.

    CiNii Article

    CiNii Books

    researchmap

  • ODRGA-VLSIのゲートアレイ動作時における高速再構成

    中島 真央, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   107 ( 225 )   23 - 27   2007年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,高速な再構成を目的として,ホログラムメモリを用いた光再構成型ゲートアレイが開発されている.しかし,初期の光再構成型ゲートアレイでは受光部とゲートアレイ間にシリアル転送が用いられており,再構成時間が16μsに制限され,かつ,再構成処理の間,ゲートアレイが使用できない問題があった.そこで,我々は,シリアル転送を用いない,ナノ秒での再構成が可能で,かつ再構成処理中もゲートアレイの使用が可能な差分光再構成型ゲートアレイを開発している.本稿では,液晶ホログラムを用いた差分光再構成型ゲートアレイの62.5nsでの連続再構成試験の結果を示す.

    CiNii Article

    CiNii Books

    researchmap

  • マルチコンテキスト光再構成ゲートアレイ

    山口 直樹, 渡邊 実

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   107 ( 225 )   19 - 22   2007年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,光によって高速な再構成が可能な光再構成型ゲートアレイが開発されている.我々は,光メモリとして液晶ホログラムを用いた光再構成型ゲートアレイを開発している.しかし,これまでの液晶ホログラムには1つのコンテキストしか実装できていなかった.本稿では,液晶上に複数のコンテキストを実装し,再構成試験を行った試験結果について報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 差分光再構成型ゲートアレイの再構成時間・消費電力の最適化手法

    日高 亮, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   106 ( 246 )   7 - 11   2006年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    我々は,光メモリであるホログラムにレーザー光を照射し,それによって得られる再構成データをVLSI部にパラレルに転送することでゲートアレイを高速に再構成できるデバイス,差分光再構成型ゲートアレイの研究を続けている.差分光再構成型ゲートアレイではゲートアレイをビット単位で再構成可能であり,これにより再構成時の照射bit数を削減できる利点がある.一方,ホログラムメモリは一般的に照射ビット数が少なくなると,ビット当たりの光量が増大する特性がある.この増大する特性を利用した光再構成の高速化,同再構成速度下における低消費電力化のアドバンテージを実験的に明らかにする.

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成ゲートアレイの製造不良耐性

    日高 亮, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   106 ( 246 )   1 - 5   2006年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,半導体技術の進歩に伴い,製造チップの歩留まりは低下する傾向にある.一般に歩留まりとダイサイズはトレードオフの関係にあり,歩留まりを良くする為にダイサイズを大きくできない問題点がある.我々は,この改善のため,ホログラムを光メモリとして用い,光バスを使用して再構成データをパラレル転送できるデバイス,光再構成ゲートアレイを開発している.本稿では,パラレル転送がもたらすチップ製造不良への耐性,また、ホログラムメモリ単体の不良に対する耐性を実験的に示し,光再構成型ゲートアレイ全体の製造不良耐性を明らかにする.

    CiNii Article

    CiNii Books

    researchmap

  • 液晶ホログラムを用いた光再構成型ゲートアレイ

    中田 善之, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report   106 ( 246 )   13 - 16   2006年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,レーザ光源,光メモリ,ゲートアレイVLSIから構成され,高速な再構成が可能な光再構成型ゲートアレイが開発されている.我々は,この光メモリとして計算機ホログラムの一種である液晶ホログラムを用いた光再構成型ゲートアレイについて研究を進めている.本稿では,この液晶ホログラムを利用した新しい光再構成型ゲートアレイアーキテクチュアについて示す.

    CiNii Article

    CiNii Books

    researchmap

  • 差分光再構成型ゲートアレー

    宮野 元嗣, 渡邊 実, 小林 史典

    電子情報通信学会論文誌. D, 情報・システム = The IEICE transactions on information and systems (Japanese edition)   89 ( 9 )   1935 - 1942   2006年9月

     詳細を見る

    記述言語:日本語   出版者・発行元:社団法人電子情報通信学会  

    近年,光を用いることで,電気配線では実現不可能な高速な再構成を可能にした光再構成型ゲートアレーが開発されている.しかし,従来の光再構成型ゲートアレーでは再構成速度が16~20 μsであり,FPGA(Field Programmable Gate Array)に比べて高速ではあるものの,実装回路の動作クロックに近い高速再構成は実現されていなかった.また,頻繁な動的再構成に不可欠となる部分的な再構成が考慮されていなかった.我々は,実装回路の動作クロックに近い高速な再構成が可能で,かつ,任意の区画がビット単位で部分再構成可能な差分型光再構成ゲートアレー(ODRGA: Optically Differential Reconfigurable Gate Array)を提案している.本論文では,まずODRGAの概要,及び任意の部分再構成を可能にする差分型光再構成アーキテクチャを示し,高速光再構成を可能にする光再構成アーキテクチャを示す.そして,試作VLSIチップ単体でナノ秒の光再構成が可能であり,パルスレーザダイオードを用いた光学システムでは,システムレベルの光再構成として世界最高速である220 nsでの高速光再構成が可能であることを示す.そして,将来的に,光学部を改良することで,システムレベルでも,ナノ秒の高速光再構成が可能になることを明らかにする.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://hdl.handle.net/10228/4569

  • 位相補間によるPLLの特性改善 : 位相補間回路の最適化

    井上 学, 小林 史典, 渡邊 実

    電子情報通信学会技術研究報告. CAS, 回路とシステム   105 ( 504 )   13 - 17   2006年1月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    一般にPLL(Phase Locked Loop)は, 入/出力信号の位相を立上りのタイミングで比較する. これに対し, 本研究では, 内部の高速クロックで駆動するカウンタにより入力信号の位相を補間・推定して1周期に何度も位相を比較することのできるPLLを開発し, ジッタ低減および応答性改善に有効であることを確認した. さらに、位相の補間・推定法とその回路構成を見直し, 1周期中の位相の比較回数を増やした場合にも回路規模や動作周波数の悪化を抑えることに成功した.

    CiNii Article

    CiNii Books

    researchmap

  • 複数のVCSELを用いたODRGAの再構成速度改善

    宮野 元嗣, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. ICD, 集積回路   105 ( 184 )   67 - 70   2005年7月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年, 高速に再構成可能な光再構成ゲートアレイが開発されている.我々はこれまでの光再構成の機能に加えて, 任意の部分再構成を可能にする差分型光再構成ゲートアレイ(ODRGA)の開発を行っている.本稿では, まず任意の部分再構成を可能にする差分型再構成アーキテクチャを示す.次に今回実験で使用したゲートアレイVLSIの構造およびその仕様について示す.そして, Vertical Cavity Surface Emitting Laser(VCSEL)を複数用いてODRGA-VLSIチップに照射し, 再構成時間の改善を行った結果を示す.

    CiNii Article

    CiNii Books

    researchmap

  • 272ゲート規模ODRGA-VLSIの実装評価

    志岐 武宣, 渡邊 実, 小林 史典

    映像情報メディア学会技術報告   29 ( 40 )   61 - 65   2005年7月

     詳細を見る

    記述言語:日本語   出版者・発行元:映像情報メディア学会  

    CiNii Article

    CiNii Books

    researchmap

  • PLLジッタ低減のためのオーバ サンプリング位相比較器

    井上, 小林 史典, 渡邊 実

    電子情報通信学会ソサイエティ大会, 2005   19 - 19   2005年

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    CiNii Article

    CiNii Books

    researchmap

  • 光再構成型ゲートアレイの再構成回路の評価

    藤目 竜二, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. CPSY, コンピュータシステム   104 ( 476 )   11 - 15   2004年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,専用論理回路に近い性能を実現しながらも,その機能をプログラマブルに変えられる再構成可能デバイスが注目されている.そこで,光学メモリ,フォトダイオードを使用して,光による高速再構成を可能にした光再構成型ゲートアレイ(ORGA)を開発している.本デバイスには受光素子とその情報を保持する機能を含めた光再構成回路が必要となるが,その数は莫大となることから,光再構成回路の性能がVLSIシステム全体に影響を及ぼす.本稿では,ROHM社O.35μmCMOSプロセスを用いて,4タイプの光再構成回路の設計を行い,動作速度,実装面積,消費電力の3つの視点から比較評価した結果を報告する.

    CiNii Article

    CiNii Books

    researchmap

  • 差分型光再構成ゲートアレイVLSI

    志岐 武宣, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. CPSY, コンピュータシステム   104 ( 476 )   5 - 9   2004年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    FPGAをベースとした再構成可能なプロセッサは、新たな計算パラダイムを開いている。しかし,ダイナミックに再構成する場合,その再構成時間は大きなオーバヘッドとなっていた.そこで,近年では,電気配線では実現不可能な高速再構成を可能とした差分光再構成型ゲートアレイの開発が進められている.本稿では,新しく設計した153ゲート規模の着分光再構成型ゲートアレイVLSIについて報告する.

    CiNii Article

    CiNii Books

    researchmap

  • パルスレーザーを用いた差分型光再構成ゲートアレイ

    宮野 元嗣, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. CPSY, コンピュータシステム   104 ( 476 )   1 - 4   2004年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    近年,再構成デバイスの1つとして,高速に再構成可能な光再構成ゲートアレイが開発されている.我々はこれまでの光再構成の機能に加えて,任意の部分再構成を可能にする差分型光再構成ダートアレイ(ODRGA)の開発を行っている.本稿では,任意の部分再構成を可能にする差分型再構成アーキテクチャを示し,試作したODRGA-VLSIチップの構造を示す.そして,パルスレーザーを用いてODRGA-VLSIチップに照射し,高速な再構成が可能であることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 0.18μmプロセスによる差分光再構成型ゲートアレイ

    渡邊 実, 小林 史典

    情報処理学会研究報告システムLSI設計技術(SLDM)   2004 ( 102 )   61 - 66   2004年10月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人情報処理学会  

    差分光再構成型ゲートアレイは,FPGA(Field Programmable Gate Array)の一種であるが,回路情報を電気的に書き込むFPGAとは異なり,光学的な書き込みを可能にしたデバイスである.これまでに0.35μmプロセスを用いた差分光再構成型ゲートアレイを開発済みであるが,本稿では,さらに高密度実装した0.18μm高密度差分光再構成型ゲートアレイの設計例について示す.この設計では7.82nm^2のチップに,4個の論理ブロック,5個のスイッチング・マトリックス,16ビットのI/Oビットを実装した.本稿では,再構成回路部,ゲートアレイ部の実装について述べ,受講部に関しては実験結果も示す.This paper presents the design of a high-density optically differential reconfigurable gate array (ODRGA using a 0.18μm - 5 Metal CMOS process technology. ODRGA is a type of Field Programmable Gate Arrays (FPGAs). However, unlike conventional FPGAs, ODRGAs are reconfigured optically using an external optical system. Although ODRGAs have already been fabricated using a 0.35μm - 3 Metal CMOS process technology, their gate-density remains unsatisfactory. For that reason, a new ODRGA-VLSI chip with four logic blocks, five switching matrices, and 16 I/O bits was fabricated on a 7.82mm^2 chip using more advanced process technology. This paper presents the detailed design of a fabricated ODRGA-VLSI chip, the optical reconfiguration circuit, the gate array structure, the CAD layout, and an ODRGA-VLSI chip mounted on an estimation board. This study also includes experimental results regarding the reconfiguration period.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00027281/

  • 差分光再構成型ゲートアレイに使用するダイナミック再構成回路

    藤目 竜二, 渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. ICD, 集積回路   103 ( 510 )   7 - 10   2003年12月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    A new dynamic reconfiguration circuit for Optically Differential Reconfigurable Gate Arrays (ODRGAs) is proposed to reduce the area occupied by its reconfiguration circuit on a VLSI chip. A dynamic circuit technique is partially introduced into our previously proposed reconfiguration circut using a static technique and the implementation area of the circuit is reduced 42% compared with that of a static technique. This paper shows a new dynamic reconfiguration circuit, its layout for 0.35 um CMOS process, and HSPICE simulation results.

    CiNii Article

    CiNii Books

    researchmap

  • 有限な物理量を用いたニューラルネットワーク

    渡邊 実, 小林 史典

    電子情報通信学会技術研究報告. NC, ニューロコンピューティング   101 ( 735 )   115 - 118   2002年3月

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人電子情報通信学会  

    本論文では、有限な物理量を用いたニューラルネットワークモデルの想起演算能力について報告する。ニューラルネットワークモデルの多くでは、ニューロンに与える信号とニューロンからの想起出力はポテンシャルとして扱い、工学的には理想電圧源で実現してきた。有限な物理量を用いたニューラルネットワークでは、この理想電圧源を有限な物理量の電圧源に置き換える。本モデルを用いた想起シミュレーションの結果について報告する。

    CiNii Article

    CiNii Books

    researchmap

  • 2P2-G08 FPGA に対するニューラルネットワークの最適実現法

    外枦保 崇, 渡邊 実, 小林 史典

    ロボティクス・メカトロニクス講演会講演概要集   2002   115 - 115   2002年

     詳細を見る

    記述言語:日本語   出版者・発行元:一般社団法人日本機械学会  

    車の自動運転等の実現では, 高速な制御, 画像処理と変化する状況への対応が必要となる。Neural Network (NN) はそのような応用に適していると期待される。我々は有限な物理量を用い, 自己組織化と時系列処理を特徴とするNeural Network (NN) 研究を進めている。このモデルでは想起に繰り返し演算が必要になる為, ハードウエアによる高速化が必須である。本研究ではノイズに影響されない安定的な動作が期待でき, 最新のプロセスを利用できる点からディジタル方式のハードウエアを採用するが, 通常の実現では積和演算回路が大規模になる。そこで, ニューロンモデルのしきい値等の固定パラメータを再構成時のみ可変とすることで回路縮小と演算時間の短縮を行った。本発表では再構成システムを使った可変パラメータアーキテクチャーについて報告する。

    CiNii Article

    CiNii Books

    researchmap

▼全件表示

講演・口頭発表等

  • Vitis AIを用いたCNN実装

    後山 晃彦, 渡邊 誠也, 名古屋 彰, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2021年9月10日 

     詳細を見る

  • Radiation-hardened optically reconfigurable gate array 招待

    Minoru Watanabe

    The Collaborative Conference on Laser  2020年4月 

     詳細を見る

    記述言語:英語  

    開催地:Dubai, UAE,  

    researchmap

  • Multi-context holographic memory exploiting a wavelength-dependent optimization technique 招待

    Minoru Watanabe, Junya Ishido

    IEEE International Conference on Photonics  2020年3月 

     詳細を見る

    記述言語:英語  

    開催地:Kelantan, Malaysia  

    researchmap

  • Place and route tool for optically reconfigurable gate arrays with fault cells

    Yuki Takena, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University  2020年3月 

     詳細を見る

    記述言語:英語  

    開催地:Shizuoka University  

    researchmap

  • Radiation tolerance of a crystal oscillator circuit

    Yuichi Moriya, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University  2020年3月 

     詳細を見る

    記述言語:英語  

    開催地:Shizuoka University  

    researchmap

  • Implementation of RISC-V Processor on MAX-10 FPGA

    Md Roman Ahmed, Minoru Watanabe

    The 6th International Symposium toward the Future of Advanced Researches in Shizuoka University  2020年3月 

     詳細を見る

    記述言語:英語  

    開催地:Shizuoka University  

    researchmap

  • Radiation-hardened optically reconfigurable gate array using a multi-wavelength holographic memory

    Junya Ishido, Minoru Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects  2020年2月 

     詳細を見る

    記述言語:英語  

    開催地:Stanford University, CA, USA  

    researchmap

  • 光再構成型ゲートアレイのマルチコンテキストスクラビングの耐放射線試験

    髙木雄介,渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2020年1月24日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:慶應義塾大学  

    researchmap

  • 耐放射線光再構成型ゲートアレイのイメージセンサ応用

    喜夛本凌平,渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2020年1月24日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:慶應義塾大学  

    researchmap

  • マルチコンテキスト高速スクラビング

    髙木雄介,渡邊 実

    第63回宇宙科学技術連合講演会  2019年11月7日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アスティとくしま  

    researchmap

  • 多重化回路実装におけるソフトエラー耐性評価

    渡邊 将己,渡邊 実

    第63回宇宙科学技術連合講演会  2019年11月7日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アスティとくしま  

    researchmap

  • 並列処理指向型光再構成型ゲートアレイVLSIにおける回路実装

    伊藤 嘉俊,渡邊 実

    第63回宇宙科学技術連合講演会  2019年11月7日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:アスティとくしま  

    researchmap

  • Full-hardware triple modular and penta-modular redundancies using a high frequency majority voting operation

    Masaki Watanabe, Minoru Watanabe

    IEEE Asia Pacific Conference on Circuits and Systems  2019年11月 

     詳細を見る

    記述言語:英語  

    開催地:Bangkok, Tailand  

    researchmap

  • Effect of radiation dose of Gamma-Ray irradiation on volume gratings using liquid crystal composites

    Makishi Toda, Akifumi, Ogiwara, Minoru Watanabe

    Microoptics Conference  2019年11月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Parallel-operation-oriented optically reconfigurable gate array VLSI

    Hirotoshi Ito, Minoru Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2019年10月 

     詳細を見る

    記述言語:英語  

    開催地:Portland, USA  

    researchmap

  • 光再構成型ゲートアレイのマルチコンテキスト色構成

    石堂 順也,渡邊 実

    第80回応用物理学会秋季学術講演会  2019年9月21日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:北海道大学札幌キャンパス  

    researchmap

  • 三重実装が可能な光再構成型ゲートアレイVLSI

    吉永 透,渡邊 実

    日本原子力学会 2019年秋の大会  2019年9月13日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山大学五福キャンパス  

    researchmap

  • 水晶振動子を用いた発振回路の放射線耐性

    渡邊 将己,旗持 卓美,渡邊 実

    日本原子力学会 2019年秋の大会  2019年9月13日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:富山大学五福キャンパス  

    researchmap

  • 光再構成型ゲートアレイVLSIの放射線による特性劣化の評価

    伊藤 嘉俊,渡邊 実

    2019年電子情報通信学会ソサイエティ大会  2019年9月11日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Total-ionizing-dose degradation analysis of an optoelectronic field programmable gate array

    Hirotoshi Ito, Minoru Watanabe

    IEEE International System-on-Chip Conference  2019年9月 

     詳細を見る

    記述言語:英語  

    開催地:Singapore  

    researchmap

  • FPGA implementation of a robot control algorithm

    Yusuke Takaki, Kohei Nagasu, Shin Abiko, Minoru Watanabe, Kentaro Sano

    International Conference on Emerging Technologies and Factory Automation  2019年9月 

     詳細を見る

    記述言語:英語  

    開催地:Zaragoza, Spain  

    researchmap

  • A 1.15 Grad total-ionizing-dose tolerance parallel operation oriented optically reconfigurable gate array VLSI

    Takumi Fujimori, Minoru Watanabe

    IEEE International Workshop on Metrology for AeroSpace  2019年6月 

     詳細を見る

    記述言語:英語  

    開催地:Torino, Italy  

    researchmap

  • An optically reconfigurable gate array workable under a strong gamma radiation environment

    Shinya Fujisaki, Takumi Fujimori, Minoru Watanabe

    IEEE- Workshop on Microelectronics and Electron Devices  2019年4月 

     詳細を見る

    記述言語:英語  

    開催地:Boise State University, USA  

    researchmap

  • 1 Grad radiation-hardened optoelectronic embedded system

    M. Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects  2019年3月 

     詳細を見る

    記述言語:英語  

    開催地:Stanford, California, USA  

    researchmap

  • ロボット制御アルゴリズムのFPGAへの実装

    髙木雄介, 渡邊 実, 佐野健太郎

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2018年12月6日 

     詳細を見る

    記述言語:日本語  

    開催地:サテライトキャンパスひろしま  

    researchmap

  • 3重実装・光再構成型ゲートアレイVLSI

    吉永 透, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2018年12月6日 

     詳細を見る

    記述言語:日本語  

    開催地:サテライトキャンパスひろしま  

    researchmap

  • Soft-error tolerance of an optically reconfigurable gate array VLSI

    T. Fujimori, M. Watanabe

    INTERNATIONAL CONFERENCE ON SYSTEMS ENGINEERING  2018年12月 

     詳細を見る

    記述言語:英語  

    開催地:Sydney, Australia  

    researchmap

  • 耐放射線・光電子デバイス 招待

    渡邊 実

    10回 静岡大-核融合科学研究所連携研究フォーラム  2018年11月30日 

     詳細を見る

    記述言語:日本語  

    開催地:静岡大学  

    researchmap

  • Radiation-hardened motor controller

    T. Hatamochi, M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”  2018年11月 

     詳細を見る

    記述言語:英語  

    開催地:Fukushima, Japan  

    researchmap

  • Many modular redundancy implementation on CPLD

    Masaki Watanabe, Minoru Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”  2018年11月 

     詳細を見る

    記述言語:英語  

    開催地:Fukushima, Japan  

    researchmap

  • Full-hardware robot controller

    Y. Takaki, M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”  2018年11月 

     詳細を見る

    記述言語:英語  

    開催地:Fukushima, Japan  

    researchmap

  • An optically reconfigurable gate array using four liquid crystal spatial light modulators

    Y. Takaki, M. Watanabe

    IEEE CPMT Symposium Japan  2018年11月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto Univ.  

    researchmap

  • Radiation-hardened power supply unit

    Shinya Fujisaki, Minoru Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning  2018年11月 

     詳細を見る

    記述言語:英語  

    開催地:Fukushima, Japan  

    researchmap

  • Radiation-hardened optically reconfigurable gate array

    M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”  2018年11月 

     詳細を見る

    記述言語:英語  

    開催地:Fukushima, Japan  

    researchmap

  • Triple modular redundancy optically reconfigurable gate array

    T. Yoshinaga, M. Watanabe

    Fukushima Research Conference “Radiation Hardness and Smartness in Remote Technology for Nuclear Decommissioning”  2018年11月 

     詳細を見る

    記述言語:英語  

    開催地:Fukushima, Japan  

    researchmap

  • 光再構成型ゲートアレイVLSIの放射線劣化特性評価

    藤森 卓巳, 渡邊 実

    第63回宇宙科学技術連合講演会  2018年10月 

     詳細を見る

    記述言語:日本語  

    開催地:久留米シティープラザ  

    researchmap

  • 超音波センサの耐放射線性能評価

    藤崎 伸也, 渡邊 実

    第63回宇宙科学技術連合講演会  2018年10月 

     詳細を見る

    記述言語:日本語  

    開催地:久留米シティープラザ  

    researchmap

  • 光再構成型ゲートアレイにおける動的再構成

    高木 雄介, 渡邊 実

    第63回宇宙科学技術連合講演会  2018年10月 

     詳細を見る

    記述言語:日本語  

    開催地:久留米シティープラザ  

    researchmap

  • 多重化回路実装におけるソフトエラー耐性の評価

    渡邊 将己, 渡邊 実

    第63回宇宙科学技術連合講演会  2018年10月 

     詳細を見る

    記述言語:日本語  

    開催地:久留米シティープラザ  

    researchmap

  • Radiation-hardened stabilized power supply unit based on a lithiumion battery

    S. Fujisaki, M. Watanabe

    Radiation and its Effects on Components and Systems conference  2018年9月 

     詳細を見る

    記述言語:英語  

    開催地:Göteborg, Sweden  

    researchmap

  • Total-Ionizing-Dose Tolerance of the configuration function of MAX3000A CPLDs

    T. Fujimori, M. Watanabe

    Data Workshop  2018年9月 

     詳細を見る

    記述言語:英語  

    開催地:Göteborg, Sweden  

    researchmap

  • Optically Reconfigurable Gate Array 招待

    M. Watanabe

    DA NEXT BIG THING ROBO  2018年9月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Effects of radiation exposure on volume gratings formed in liquid crystal composites

    A Ogiwara, M. Toda, M. Watanabe, H. Kakiuchida

    2018 KJF International Conference on Organic Materials for Electronics and Photonics  2018年9月 

     詳細を見る

    記述言語:英語  

    開催地:Gifu, Japan  

    researchmap

  • Ultrasonic sensor system with a 94 Mrad total-ionizing-dose tolerance

    Fujisaki, M. Watanabe

    IEEE International Conference on Semiconductor Electronics  2018年8月 

     詳細を見る

    記述言語:英語  

    開催地:Kuala Lumpur, Malaysia  

    researchmap

  • A 400 Mrad radiation-hardened optoelectronic embedded system with a silver-halide holographic memory

    T. Fujimori, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2018年8月 

     詳細を見る

    記述言語:英語  

    開催地:Edinburgh, UK  

    researchmap

  • FPGAによる自動車の自動走行コンテストについて

    渡邊 実

    第4回 人工知能とHW/SW協調設計ワークショップ  2018年7月 

     詳細を見る

    記述言語:日本語  

    開催地:てんぶす那覇  

    researchmap

  • Optically Reconfigurable Gate Array with a triple modular redundancy

    Toru Yoshinaga, Minoru Watanabe

    International Conference on Space Science and Communication  2018年7月 

     詳細を見る

    記述言語:英語  

    開催地:ohor, Malaysia  

    researchmap

  • High total-ionizing-dose tolerance field programmable gate array

    T. Fujimori, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2018年5月 

     詳細を見る

    記述言語:英語  

    researchmap

  • A 603 Mrad total-ionizing-dose tolerance optically reconfigurable gate array VLSI

    T. Fujimori, M. Watanabe

    International Conference on Signals and Systems  2018年5月 

     詳細を見る

    開催地:Bali, Indonesia  

    researchmap

  • An 807 Mrad total dose tolerance of an optically reconfigurable gate array VLSI

    T. Fujimori, M. Watanabe

    IEEE Workshop on Silicon Errors in Logic – System Effects  2018年4月 

     詳細を見る

    開催地:Massachusetts, USA  

    researchmap

  • 放射線環境でのモータ制御

    旗持卓美, 渡邊実

    電子情報通信学会総合大会  2018年3月23日 

     詳細を見る

    記述言語:日本語  

    開催地:東京電機大学  

    researchmap

  • 光再構成型ゲートアレイVLSIの放射線耐性評価

    藤森卓巳, 渡邊実

    電子情報通信学会総合大会  2018年3月22日 

     詳細を見る

    記述言語:日本語  

    開催地:東京電機大学  

    researchmap

  • 超音波センサの放射線耐性試験

    藤崎伸也, 渡邊実

    電子情報通信学会総合大会  2018年3月21日 

     詳細を見る

    記述言語:日本語  

    開催地:東京電機大学  

    researchmap

  • 光再構成型ゲートアレイの光バスにおけるビットエラーレートの測定

    杉山 和礼, 渡邊実

    電子情報通信学会総合大会  2018年3月 

     詳細を見る

    記述言語:日本語  

    開催地:東京電機大学  

    researchmap

  • FFT implementation using mono-instruction set computer architecture

    H. Shinba, M. Watanabe

    Second Workshop on Pioneering Processor Paradigms  2018年2月 

     詳細を見る

    開催地:Vienna, Austria  

    researchmap

  • 光再構成型ゲートアレイのトータルドーズ耐性

    藤森卓巳, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2018年1月19日 

     詳細を見る

    記述言語:日本語  

    開催地:慶応義塾大学  

    researchmap

  • FPGA Hardware Accelerator for Holographic Memory Calculations for Optically Reconfigurable Gate Arrays

    Y. Ito, M, Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2017年11月 

     詳細を見る

    開催地:Okinawa, Japan  

    researchmap

  • Holographic memory calculation FPGA accelerator for optically reconfigurable gate array

    T. Fujimori, M. Watanabe

    IEEE International Conference on Dependable, Autonomic and Secure Computing  2017年11月 

     詳細を見る

    記述言語:英語  

    開催地:Orlando, USA  

    researchmap

  • Optically reconfigurable gate array driven by a lithium-ion battery

    S. Fujisaki, M. Watanabe

    IEEE CPMT Symposium Japan  2017年11月 

     詳細を見る

    開催地:Kyoto Univ.  

    researchmap

  • Resistance Evaluation of Holographic Polymer-Dispersed Liquid Crystal Memory for Gamma-Ray Irradiation

    A. Ogiwara, M, Watanabe,Y. Ito

    Microoptics Conference (MOC’17)  2017年11月 

     詳細を見る

    開催地:Tokyo, Japan  

    researchmap

  • 宇宙機器向けホログラムメモリ計算のアクセラレーション

    藤森卓巳, 渡邊 実

    第61回宇宙科学技術連合講演会  2017年10月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • VTRを使用したMono Instruction Set Computer の性能解析

    榛葉大樹, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017年9月25日 

     詳細を見る

    記述言語:日本語  

    開催地:(株) ドワンゴ  

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリ計算のハードウェアアクセラレーション

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017年9月25日 

     詳細を見る

    記述言語:日本語  

    開催地:(株) ドワンゴ  

    researchmap

  • Radiation Tolerance Demonstration of High-Speed Scrubbing on an Optically Reconfigurable Gate Array

    T. Fujimori, M. Watanabe

    IEEE International System-on-Chip Conference  2017年8月 

     詳細を見る

    記述言語:英語  

    開催地:Munich, Germany  

    researchmap

  • Asynchronous optical bus for optical VLSIs

    T. Fujimori, M. Watanabe

    International Conference on Innovative Computing Technology  2017年8月 

     詳細を見る

    開催地:Luton, UK  

    researchmap

  • Multi-context scrubbing method

    T. Fujimori, M. Watanabe

    IEEE International Midwest Symposium on Circuits and Systems  2017年8月 

     詳細を見る

  • High-speed scrubbing based on asynchronous optical configuration

    T. Fujimori, M. Watanabe

    IEEE International Conference on Opto-Electronic Information Processing  2017年7月 

     詳細を見る

    記述言語:英語  

    開催地:Singapore  

    researchmap

  • 500 Mrad total-ionizing-dose tolerance of a holographic memory on an optical FPGA

    Y. Ito,M, Watanabe,A. Ogiwara

    NASA/ESA Conference on Adaptive Hardware and Systems  2017年7月 

     詳細を見る

    記述言語:英語  

    開催地:Pasadena, USA  

    researchmap

  • Development of a radiation-hardened embedded system used for robots decommissioning nuclear reactor

    M. Watanabe

    ACTINIDES2017  2017年7月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Gate Density Advantage of Parallel -Operation-Oriented FPGA Architecture

    T. Fujimori, M. Watanabe

    National Aerospace & Electronics Conference  2017年6月 

     詳細を見る

    記述言語:英語  

    開催地:Dayton, USA  

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリ部の耐放射線性能試験

    伊藤芳純, 渡邊 実, 荻原昭文

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017年5月22日 

     詳細を見る

    記述言語:日本語  

    開催地:登別温泉第一滝本館  

    researchmap

  • 光再構成型ゲートアレイ向け耐放射線安定化電源

    藤﨑伸也, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017年5月22日 

     詳細を見る

    記述言語:日本語  

    開催地:登別温泉第一滝本館  

    researchmap

  • マルチコンテキストを用いた高速光スクラビング

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2017年5月22日 

     詳細を見る

    記述言語:日本語  

    開催地:登別温泉第一滝本館  

    researchmap

  • Error injection analysis for triple modular and penta-modular redundancies

    R. Terada, M. Watanabe

    International Symposium on Next-Generation Electronics  2017年5月 

     詳細を見る

    開催地:Keelung, Taiwan  

    researchmap

  • 300 Mrad total-ionizing-dose tolerance of a holographic memory on an optically reconfigurable gate array

    Y. Ito,M, Watanabe, A. Ogiwara

    International Symposium on Next-Generation Electronics  2017年5月 

     詳細を見る

    記述言語:英語  

    開催地:Keelung,Taiwan  

    researchmap

  • Radiation tolerance experiments for a motor controller

    T. Hatamochi, M. Watanabe

    International Symposium on Next-Generation Electronics  2017年5月 

     詳細を見る

    記述言語:英語  

    開催地:Keelung, Taiwan  

    researchmap

  • 電源回路の放射線耐性試験

    藤﨑伸也, 渡邊実

    電子情報通信学会総合大会  2017年3月24日 

     詳細を見る

    記述言語:日本語  

    開催地:名城大学  

    researchmap

  • モータコントローラの放射線耐性試験

    旗持卓美, 渡邊実

    電子情報通信学会総合大会  2017年3月23日 

     詳細を見る

    記述言語:日本語  

    開催地:名城大学  

    researchmap

  • 光再構成型ゲートアレイの高速スクラビング手法

    藤森卓巳, 渡邊実

    電子情報通信学会総合大会  2017年3月22日 

     詳細を見る

  • 液晶ホログラムメモリへの放射線照射による光学特性の影響

    荻原 昭文, 渡邊 実, 伊藤 芳純

    第64回応用物理学会春季学術講演会  2017年3月17日 

     詳細を見る

    記述言語:日本語  

    開催地:パシフィコ横浜  

    researchmap

  • ハードウェアアクセラレータの放射線耐性

    寺田涼, 渡邊実

    電子情報通信学会総合大会  2017年3月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイの暗点雑音測定

    榛葉大樹, 渡邊実

    電子情報通信学会総合大会  2017年3月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • Photodiode Response Measurement Technique using Low Laser Intensity

    Bharat Ramanathan, Minoru Watanabe

    電子情報通信学会総合大会  2017年3月 

     詳細を見る

  • ホログラムメモリの放射線耐性評価

    伊藤芳純, 渡邊 実, 荻原昭文

    電子情報通信学会総合大会  2017年3月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • Optically reconfigurable gate array platform for Mono-instruction set computer arc

    H. Shinba, M. Watanabe

    IEEE Annual Computing and Communication Workshop and Conference  2017年1月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • A 200 Mrad radiation tolerance of a polymer-dispersed liquid crystal holographic memory

    Y. Ito,M, Watanabe,A. Ogiwara

    IEEE International Conference on Data Science and Systems  2016年12月 

     詳細を見る

    記述言語:英語  

    開催地:Sydney, Australia  

    researchmap

  • A 300 Mrad total-ionizing dose experiment of lasers used for holographic memories

    T. Akabe, M, Watanabe

    International Conference On Advances in Computing, Electronics and Electrical Technology  2016年11月 

     詳細を見る

    記述言語:英語  

    開催地:Kuala Lumpur, Malaysia  

    researchmap

  • Compilation time advantage of parallel-operation-oriented optically reconfigurable gate arrays

    T. Fujimori, M. Watanabe

    International Conference on Advanced Mechatronic Systems  2016年11月 

     詳細を見る

    記述言語:英語  

    開催地:Melbourne, Australia  

    researchmap

  • レーザアレイの放射線耐性評価

    赤部知也, 渡邊実

    第15回情報科学技術フォーラム(FIT2016)  2016年9月9日 

     詳細を見る

    記述言語:日本語  

    開催地:富山大学  

    researchmap

  • ホログラムメモリの放射線耐性試験

    伊藤芳純, 渡邊実, 荻原昭文

    第15回情報科学技術フォーラム(FIT2016)  2016年9月9日 

     詳細を見る

    記述言語:日本語  

    開催地:富山大学  

    researchmap

  • Photodiode sensitivity measurement methodology using a low light intensity for optically reconfigurable gate arrays

    B. Ramanathan, M. Watanabe

    nternational conference on computer science & education  2016年8月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya, Japan  

    researchmap

  • Direct optical communication on an optically reconfigurable gate array

    S. Furukawa, I.S.A. Halim, M, Watanabe, F. Kobayashi

    International Conference on Future Generation Communication Technologies  2016年8月 

     詳細を見る

    記述言語:英語  

    開催地:Luton, UK  

    researchmap

  • Radiation tolerance of a MEMS mirror device

    T. Fujimori, M. Watanabe

    International Conference on Optical MEMS and Nanophotonics  2016年7月 

     詳細を見る

    記述言語:英語  

    開催地:Singapore  

    researchmap

  • Demonstrating a holographic memory having 100 Mrad total-ionizing-dose tolerance

    Y. Ito,M, Watanabe,A. Ogiwara

    International Conference on Mechanical and Aerospace Engineering  2016年7月 

     詳細を見る

    記述言語:英語  

    開催地:London, UK  

    researchmap

  • Architecture-independence negative logic implementation for optically reconfigurable gate arrays

    T. Fujimori, M. Watanabe

    International Conference on Mechanical and Aerospace Engineering  2016年7月 

     詳細を見る

    記述言語:英語  

    開催地:London, UK  

    researchmap

  • 光再構成型ゲートアレイの光入力

    榛葉大樹, 古川晋也, Ili Shairah, Abdul Halim, 渡邊 実, 小林史典

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2016年5月19日 

     詳細を見る

    記述言語:日本語  

    開催地:富士通研究所 岡田記念ホール  

    researchmap

  • A 180 Mrad Total-Ionizing Dose Experiment for Laser Arrays on Optically Reconfigurable Gate Arrays

    K. Akagi, M. Watanabe

    25th Annual Single Event Effects (SEE) Symposium  2016年5月 

     詳細を見る

    記述言語:英語  

    開催地:San Diego, USA  

    researchmap

  • 液晶ホログラムメモリへの角度多重記録におけるレーザ露光条件の改善

    前田雄大, 荻原昭文, 渡邊 実

    第63回応用物理学会春季学術講演会  2016年3月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • Full FPGA Game Machine

    T. Fujimori, M. Watanabe

    IEEE International Conference on Consumer Electronics  2016年1月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • Reconfiguration performance recovery method on optically reconfigurable gate arrays

    T. Akabe, M, Watanabe

    International Conference on VLSI Design  2016年1月 

     詳細を見る

    記述言語:英語  

    開催地:Kolkata, India  

    researchmap

  • FPGA Trax Solver based on a Neural Network Design

    T. Fujimori, T. Akabe, Y. Ito, K. Akagi, S. Furukawa, H. Shinba, A. Tanibata, M. Watanabe

    International Conference on Field-Programmable Technology  2015年12月 

     詳細を見る

    記述言語:英語  

    開催地:Queenstown, New Zealand  

    researchmap

  • 並列処理指向・光再構成型ゲートアレイへのTMR実装

    伊藤芳純, 渡邊 実

    デザインガイア2015 -VLSI設計の新しい大地  2015年12月 

     詳細を見る

    記述言語:日本語  

    開催地:長崎県勤労福祉会館  

    researchmap

  • 光再構成型ゲートアレイの反転コンフィギュレーション手法のフォールトトレランス評価

    榛葉大樹, 渡邊 実

    デザインガイア2015 -VLSI設計の新しい大地  2015年12月 

     詳細を見る

    記述言語:日本語  

    開催地:長崎県勤労福祉会館  

    researchmap

  • Radiation tolerance experiments of a laser array on an optically reconfigurable gate array

    Kouta Akagi, Minoru Watanabe

    The 1st RIS-MJIIT Workshop on Renewable and Sustainable Integrated Systems  2015年12月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Optically Reconfigurable Gate Array Prototype System

    Masato Seo, Minoru Watanabe

    The 1st RIS-MJIIT Workshop on Renewable and Sustainable Integrated Systems 2015  2015年12月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Triple modular redundancy on parallel-operation-oriented optically reconfigurable gate arrays

    Yoshizumi Ito, M, Watanabe

    IEEE International Conference on Aerospace Electronics and Remote Sensing Technology  2015年12月 

     詳細を見る

    記述言語:英語  

    開催地:Bali, Indonesia  

    researchmap

  • Total-ionizing-dose tolerance analysis of an optically reconfigurable gate array VLSI

    M. Watanabe

    IEEE International Conference on Aerospace Electronics and Remote Sensing Technology  2015年12月 

     詳細を見る

    記述言語:英語  

    開催地:Bali, Indonesia  

    researchmap

  • Sustainable advantage of a parallel configuration in an optical FPGA

    M. Watanabe

    IEEE/SICE International Symposium on System Integration  2015年12月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya, Japan  

    researchmap

  • Total-Ionizing Dose Tolerance of the Serial Configuration on Cyclone II FPGA

    Hiroyuki Ito,M, Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2015年10月 

     詳細を見る

    記述言語:英語  

    開催地:New Orleans, USA  

    researchmap

  • Effect of laser exposure condition on formation of holographic emmory by angle-multiplexing recording using liquid crystal composittes

    A. Ogiwara, M. Watanabe

    Microoptics Conference (MOC’15)  2015年10月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka, Japan  

    researchmap

  • Fresnel Lens Radiation Shield for Photodiode

    M. Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2015年10月 

     詳細を見る

    記述言語:英語  

    開催地:New Orleans, USA  

    researchmap

  • Triple Modular Redundancy on Parallel-Operation- Oriented FPGA Architectures for Optical Communications

    M. Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2015年10月 

     詳細を見る

    記述言語:英語  

    開催地:New Orleans, USA  

    researchmap

  • 100 Mrad Total-Ionizing Dose Tolerance Experiment of a Laser Array

    K. Akagi, M. Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2015年10月 

     詳細を見る

    記述言語:英語  

    開催地:New Orleans, USA  

    researchmap

  • Investigating the radiation tolerance of a laser array for an optically reconfigurable gate array

    K. Akagi, M. Watanabe

    Microoptics Conference (MOC’15)  2015年10月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka, Japan  

    researchmap

  • 放射線でダメージを受けた光再構成型ゲートアレイのリカバリー手法

    赤部知也, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2015年9月19日 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学  

    researchmap

  • Formation of Holographic Memory by Angle-multiplexing Recording in Liquid Crystal Composites

    A. Ogiwara, M. Watanabe

    The 11th conference on lasers and elecro-optics pacific rim  2015年8月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea  

    researchmap

  • 光再構成型ゲートアレイの高速スクラビング

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2015年6月20日 

     詳細を見る

    記述言語:日本語  

    開催地:京都大学  

    researchmap

  • Holographic scrubbing technique for a programmable gate array

    M. Watanabe, T. Fujimori

    NASA/ESA Conference on Adaptive Hardware and Systems  2015年6月 

     詳細を見る

    記述言語:英語  

    開催地:Montreal, Canada  

    researchmap

  • Radiation-hardened Optically Reconfigurable Gate Array Using a Negative Logic Configuration without Necessity of a Dedicated VLSI

    T. Fujimori, M. Watanabe

    24th Annual Single Event Effects (SEE) Symposium  2015年5月 

     詳細を見る

    開催地:San Diego, USA  

    researchmap

  • High-resolution configuration of optically reconfigurable gate arrays

    K. Akagi, M. Watanabe

    International Symposium on Next-Generation Electronics  2015年5月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • Design of a parallel-operation-oriented FPGA

    M. Watanabe

    International Symposium on Next-Generation Electronics  2015年5月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • 並列処理指向型FPGAアーキテクチャ

    藤森卓巳, 渡邊 実

    再生可能集積システム時限研究会  2015年4月17日 

     詳細を見る

    記述言語:日本語  

    開催地:明治大学  

    researchmap

  • Total ionizing dose effects of optical components on an optically reconfigurable gate array

    R. Moriwaki, H. Ito, K. Akagi,M, Watanabe,A. Ogiwara

    International Workshop on Applied Reconfigurable Computing  2015年4月 

     詳細を見る

    開催地:Bochum, Germany  

    researchmap

  • 光再構成型ゲートアレイにおけるレーザアレイに対する放射線耐性

    赤木 昂太, 渡邊 実

    日本光学会 情報フォトニクス研究グループ・関東学生研究論文講演会  2015年3月10日 

     詳細を見る

    記述言語:日本語  

    開催地:静岡大学  

    researchmap

  • MEMSホログラムメモリの放射線耐性

    藤森 卓巳, 渡邊 実

    日本光学会 情報フォトニクス研究グループ・関東学生研究論文講演会  2015年3月10日 

     詳細を見る

    記述言語:日本語  

    開催地:静岡大学  

    researchmap

  • 光再構成型ゲートアレイのホログラムメモリの放射線耐性

    窪田 貴之, 渡邊 実

    日本光学会 情報フォトニクス研究グループ・関東学生研究論文講演会  2015年3月10日 

     詳細を見る

    記述言語:日本語  

    開催地:静岡大学  

    researchmap

  • 2 並列ゲートアレイをもつ並列処理指向型光再構成型ゲートアレイVLSI

    藤森卓巳, 渡邊 実

    電子情報通信学会・総合大会・ISS特別企画学生ポスターセッション  2015年3月 

     詳細を見る

  • Parallel-Operation-Oriented Optically Reconfigurable Gate Array

    T. Fujimori, M. Watanabe

    GI/ITG International Conference on Architecture of Computing Systems  2015年3月 

     詳細を見る

    記述言語:英語  

    開催地:Porto, Portugal  

    researchmap

  • 光再構成型ゲートアレイの並列構成法の放射線耐性に関する一考察

    伊藤宏幸, 森脇 烈, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2015年1月29日 

     詳細を見る

    記述言語:日本語  

    開催地:慶応大学  

    researchmap

  • Radiation tolerance of optically reconfigurable gate arrays

    R. Moriwaki, H. Ito,M, Watanabe,A. Ogiwara, H. Maekawa

    International Symposium Toward the Future of Advanced Researches in Shizuoka University  2015年1月 

     詳細を見る

    記述言語:英語  

    開催地:Shizuoka, Japan  

    researchmap

  • Radiation tolerance experiment for a dynamically reconfigurable vision architecture

    M. Watanabe, S. Kawahito

    International Conference on Advances in Computing, Electronics and Electrical Technology  2014年12月 

     詳細を見る

    記述言語:英語  

    開催地:Kuala Lumpur, Malaysia  

    researchmap

  • 光再構成型ゲートアレイの色構成手法の放射線耐性

    藤森卓巳, 渡邊実

    宇宙科学技術連合講演会  2014年11月14日 

     詳細を見る

    記述言語:日本語  

    開催地:長崎ブリックホール  

    researchmap

  • 光再構成型ゲートアレイの4色カラー構成

    藤森卓巳, 渡邊実

    再生可能集積システム時限研究会  2014年10月18日 

     詳細を見る

    記述言語:日本語  

    開催地:東洋大学  

    researchmap

  • Dependable Optically Reconfigurable Gate Array Architecture 招待

    M. Watanabe

    International Symposium on Optical Memory  2014年10月 

     詳細を見る

  • 光再構成型ゲートアレイのホログラムメモリ部の放射線耐性

    森脇烈, 伊藤宏幸, 前川輝, 渡邊実, 荻原昭文

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2014年9月18日 

     詳細を見る

    記述言語:日本語  

    開催地:杜の宿(宮島)  

    researchmap

  • 並列処理指向・光再構成型ゲートアレイVLSI

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2014年9月18日 

     詳細を見る

    記述言語:日本語  

    開催地:杜の宿(宮島)  

    researchmap

  • Image recognition system using an optical Fourier transform on a dynamically reconfigurable vision architecture

    Y. Kamikubo, M. Watanabe, Shoji Kawahito

    IEEE International Symposium on Circuits and Systems  2014年6月 

     詳細を見る

    記述言語:英語  

    開催地:Melbourne, Australia  

    researchmap

  • A parallel-operation-oriented FPGA architecture

    M. Watanabe

    International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies  2014年6月 

     詳細を見る

    記述言語:英語  

    開催地:Sendai, Japan  

    researchmap

  • An optically reconfigurable gate array with an angle-multiplexed holographic memory

    R. Moriwaki, H. Maekawa, A. Ogiwara, M. Watanabe

    IEEE/ACM Great Lake Symposium on Very Large Scale Integrated circuits  2014年5月 

     詳細を見る

    記述言語:英語  

    開催地:Texas, USA  

    researchmap

  • Radiation tolerance of color configuration on an optically reconfigurable gate array

    T. Fujimori, M. Watanabe

    Reconfigurable Architectures Workshop  2014年5月 

     詳細を見る

    記述言語:英語  

    開催地:Phoenix, USA  

    researchmap

  • Dependable optically differential reconfigurable gate array

    M. Seo, M. Watanabe

    International Conference on Space Optical Systems and Applications  2014年5月 

     詳細を見る

    記述言語:英語  

    開催地:Kobe, Japan  

    researchmap

  • Enhanced radiation tolerance of an optically reconfigurable gate array by exploiting an inversion/ non-inversion implementation

    T. Yoza, M. Watanabe

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science  2014年4月 

     詳細を見る

    記述言語:英語  

    開催地:Algarve, Portugal  

    researchmap

  • 色構成手法を用いた光再構成型ゲートアレイの放射線耐性

    藤森卓巳, 渡邊 実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2014年3月20日 

     詳細を見る

    記述言語:日本語  

    開催地:新潟大学  

    researchmap

  • 高密度ダイナミック光再構成型ゲートアレイ

    窪田 貴之, 渡邊 実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2014年3月20日 

     詳細を見る

    記述言語:日本語  

    開催地:新潟大学  

    researchmap

  • 角度多重記録による液晶ホログラムメモリを用いた光再構成試験

    荻原昭文, 前川 輝, 渡邊 実, 森脇 烈

    第61回応用物理学会春季学術講演会  2014年3月18日 

     詳細を見る

    記述言語:英語  

    開催地:青山学院大学  

    researchmap

  • 光再構成型ゲートアレイへの可変サイズスポット構成手法

    赤木昂太, 渡邊 実

    電子情報通信学会東海支部 卒業研究発表会  2014年3月10日 

     詳細を見る

    記述言語:日本語  

    開催地:三重大学  

    researchmap

  • 光再構成型ゲートアレイへの色構成手法

    藤森卓巳, 渡邊 実

    電子情報通信学会東海支部 卒業研究発表会  2014年3月10日 

     詳細を見る

    記述言語:日本語  

    開催地:三重大学  

    researchmap

  • 差分光再構成型ゲートアレイの放射線耐性向上手法

    瀬尾真人, 渡邊 実

    電子情報通信学会東海支部 卒業研究発表会  2014年3月10日 

     詳細を見る

    記述言語:日本語  

    開催地:三重大学  

    researchmap

  • A high-density optically reconfigurable gate array VLSI using variable holographic memory pattern

    K. Akagi, M. Watanabe

    International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems  2014年3月 

     詳細を見る

    記述言語:英語  

    開催地:Hawaii, USA  

    researchmap

  • Formation of holographic memory for optically-reconfigurable gate array by angle-multiplexing recording of multi-circuit information in liquid-crystal composites

    A. Ogiwara, H. Maekawa, M. Watanabe, R. Moriwaki

    Proceedings of SPIE  2014年2月 

     詳細を見る

    記述言語:英語  

    開催地:San Francisco, USA  

    researchmap

  • FPGA Blokus Duo Solver using a massively parallel architecture

    T. Yoza, R. Moriwaki, Y. Torigai, Y. Kamikubo, T. Kubota, T. Watanabe, T. Fujimori, H. Ito, M. Seo, K. Akagi, Y. Yamaji, M. Watanabe

    nternational Conference on Field-Programmable Technology  2013年12月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto, Japan  

    researchmap

  • Many-module redundancy implementation of mono instruction set computers for 3D optical FPGAs

    Y. Shirahashi, M. Watanabe

    IEEE Electrical Design of Advanced Packaging & Systems  2013年12月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Color configuration method for an optically reconfigurable gate array

    T. Fujimori, M. Watanabe

    International Conference on Field-Programmable Technology  2013年12月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto, Japan  

    researchmap

  • Mono-instruction set computer architecture on a 3D optically reconfigurable gate array

    H. Ito, M. Watanabe

    IEEE Electrical Design of Advanced Packaging & Systems  2013年12月 

     詳細を見る

    記述言語:英語  

    開催地:Nara, Japan  

    researchmap

  • 差分光再構成型ゲートアレイの放射線耐性向上実装手法

    瀬尾真人, 渡邊 実

    リコンフィギャラブルシステム研究会  2013年11月28日 

     詳細を見る

    記述言語:日本語  

    開催地:鹿児島県文化センター(宝山ホール)  

    researchmap

  • 負論理回路実装を活用した光再構成型ゲートアレイの放射線耐性の向上手法

    森脇烈, 渡邊実

    宇宙科学技術連合講演会  2013年10月9日 

     詳細を見る

    記述言語:日本語  

    開催地:米子コンベンションセンター  

    researchmap

  • Angle-multiplexing recording of multi-context for optically reconfigurable gate array in holographic memory using liquid crystal composites

    A. Ogiwara, H. Maekawa, M. Watanabe, R. Moriwaki

    Microoptics Conference (MOC’13)  2013年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • A dynamic optically reconfigurable gate array using a blue laser,” International Conference on Photonics

    T. Kubota, M. Watanabe

    International Conference on Photonics  2013年10月 

     詳細を見る

    記述言語:英語  

    開催地:Melaka, Malaysia  

    researchmap

  • フーリエ変換を用いた光再構成型ビジョンチップによる画像認識

    上窪勇貴, 渡邊実, 川人祥二

    電気関係学会 東海支部連合大会  2013年9月24日 

     詳細を見る

    記述言語:日本語  

    開催地:静岡大学  

    researchmap

  • 光再構成型ゲートアレイへの色構成手法

    藤森卓巳, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2013年9月19日 

     詳細を見る

    記述言語:日本語  

    開催地:北陸先端科学技術大学院大学  

    researchmap

  • 光再構成型ゲートアレイへの可変サイズスポット構成手法

    赤木昂太, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2013年9月19日 

     詳細を見る

    記述言語:日本語  

    開催地:北陸先端科学技術大学院大学  

    researchmap

  • Image recognition operation on a dynamically reconfigurable vision architecture

    Y. Kamikubo, M. Watanabe, S. Kawahito

    International Conference on Field Programmable Logic and Applications  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:Porto, Portugal  

    researchmap

  • A fine-grained dependable optically reconfigurable gate array as a multi-soft-core processor platform

    R. Moriwaki, M. Watanabe

    IEEE 7th International Symposium on Embedded Multicore SoCs  2013年9月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • 光再構成用コンテキストデータの液晶ホログラムへの角度多重記録

    前川 輝, 荻原昭文, 渡邊 実, 森脇 烈

    応用物理学会秋季学術講演会  2013年9月 

     詳細を見る

    記述言語:日本語  

    開催地:同志社大学  

    researchmap

  • Fourier Transformation on an Optically Reconfigurable Gate Array

    H. Ito, M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2013年8月 

     詳細を見る

    記述言語:英語  

    開催地:USA  

    researchmap

  • Formation of Holographic Memory by Recording of Multi-context in Liquid Crystal Composites

    A. Ogiwara, H. Maekawa, M. Watanabe, R. Moriwaki

    Conference on Lasers and Electro-Optics Pacific Rim  2013年7月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto, Japan  

    researchmap

  • Configuration on an optically reconfigurable gate array under the maximum 120°C temperature condition

    R. Moriwaki, M. Watanabe, A. Ogiwara

    OptoElectronics and Communications Conference  2013年7月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto, Japan  

    researchmap

  • A 7-depth search FPGA Connect6 Solver

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, A. Tanigawa, T. Kubota, H. Ito, Y. Shirahashi, M. Watanabe

    nternational Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2013年6月 

     詳細を見る

    記述言語:英語  

    開催地:Edinburgh, United Kingdom  

    researchmap

  • A 4-configuration-context optically reconfigurable gate array with a MEMS interleaving method

    Y. Yamaji, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2013年6月 

     詳細を見る

    記述言語:英語  

    開催地:Torino, Italy  

    researchmap

  • A dependability-increasing demonstration for a 16-configuration context optically reconfigurable gate array

    A. Tanigawa, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2013年6月 

     詳細を見る

    記述言語:英語  

    開催地:Edinburgh, United Kingdom  

    researchmap

  • MEMS interleaving method for optically reconfigurable gate arrays

    Y. Yamaji, M. Watanabe

    IEEE International Conference on Electro/Information Technology  2013年5月 

     詳細を見る

    記述言語:英語  

    開催地:South Dakota, USA  

    researchmap

  • Dependability-increasing technique on a multi-context optically reconfigurable gate array

    A. Tanigawa, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2013年5月 

     詳細を見る

    記述言語:英語  

    開催地:Beijing, China  

    researchmap

  • 0.18 μm CMOS process photodiode memory

    T. Kubota, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2013年5月 

     詳細を見る

    記述言語:英語  

    開催地:Beijing, China  

    researchmap

  • Power consumption of mono-instruction set computers (MISCs)

    H. Ito, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2013年4月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan  

    researchmap

  • 2コンテキストMEMS光再構成型ゲートアレイ

    山地勇一郎, 渡邊実

    電子情報通信学会 総合大会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜大学  

    researchmap

  • Dependability-increasing method of processors under a space radiation environment

    Y. Shirahashi, M. Watanabe

    International Workshop on Applied Reconfigurable Computing  2013年3月 

     詳細を見る

    記述言語:英語  

    開催地:Los Angeles, USA  

    researchmap

  • Temperature Dependable Holographic Memory Using Holographic Polymer-dispersed Liquid Crystal

    A. Ogiwara, M, Watanabe,R. Moriwaki

    Progress In Electromagnetics Research Symposium  2013年3月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • 16コンテキストを活用した光再構成型ゲートアレイの放射線耐性の向上方法

    谷川彰, 渡邊実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜大学  

    researchmap

  • MISCディペンダブルシステムのStratix V FPGAへの実装

    白橋侑弥, 渡邊実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜大学  

    researchmap

  • 光再構成型ゲートアレイ用液晶ホログラムメモリの温度依存性

    荻原 昭文, 志智 弘, 渡邊実, 森脇 烈

    応用物理学会春季学術講演会  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:神奈川工科大学  

    researchmap

  • 4コンテキストMEMS光再構成型ゲートアレイ

    山地勇一郎, 渡邊実

    電子情報通信学会 総合大会 ISS特別企画「学生ポスターセッション」  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜大学  

    researchmap

  • マルチコンテキストを活用した光再構成型ゲートアレイの放射線耐性の向上方法

    谷川彰, 渡邊実

    卒業研究発表会,電子情報通信学会東海支部  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学  

    researchmap

  • 高速動的再構成を活用したディペンダブルシステムの構成手法

    白橋侑弥, 渡邊 実

    卒業研究発表会,電子情報通信学会東海支部  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学  

    researchmap

  • モノ・インストラクション・セット・コンピュータの実装

    伊藤宏幸, 渡邊実

    卒業研究発表会,電子情報通信学会東海支部  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜大学  

    researchmap

  • 0.18 μm CMOSプロセスダイナミック光再構成型ゲートアレイVLSI

    窪田貴之, 渡邊実

    卒業研究発表会,電子情報通信学会東海支部  2013年3月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学  

    researchmap

  • 28nmプロセスFPGAへのモノ・インストラクション・セット・コンピュータの実装

    伊藤宏幸, 渡邊実

    静岡地区計測制御研究発表会  2012年12月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 高速動的再構成を活用したディペンダブルシステムの構成手法

    白橋侑弥, 渡邊実

    第56回宇宙科学技連合講演会  2012年11月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 0.18um CMOS プロセスダイナミック光再構成型ゲートアレイVLSI

    窪田貴之, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012年11月 

     詳細を見る

    記述言語:日本語  

    開催地:九州大学医学部百年講堂  

    researchmap

  • A 9-configuration-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory

    R. Moriwaki, M, Watanabe,A. Ogiwara

    Takayanagi Kenjiro Memorial Symposium  2012年11月 

     詳細を見る

    記述言語:英語  

    開催地:Shizuoka, Japan  

    researchmap

  • マルチコンテキストを活用した光再構成型ゲートアレイの放射線耐性の向上方法

    谷川彰, 渡邊実

    第56回宇宙科学技連合講演会  2012年11月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 偏光依存性ホログラムメモリを用いた9コンテキスト光再構成型ゲートアレイ

    森脇烈, 渡邊実, 荻原昭文

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012年11月 

     詳細を見る

    記述言語:日本語  

    開催地:九州大学医学部百年講堂  

    researchmap

  • 光再構成型ビジョンチップによる16諧調グレーレベル画像認識

    上窪勇貴, 渡邊実, 川人祥二

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2012年10月 

     詳細を見る

    記述言語:日本語  

    開催地:岩手県,ホテルルイズ  

    researchmap

  • モノ・インストラクション・セット・コンピュータ(MISC)の消費電力解析

    伊藤宏幸, 渡邊実

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2012年10月 

     詳細を見る

    記述言語:日本語  

    開催地:岩手県,ホテルルイズ  

    researchmap

  • Gray-level image recognition on a dynamically reconfigurable vision architecture

    Y. Kamikubo,M, Watanabe,S. Kawahito

    IEEE SOC Conference  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:New York, USA  

    researchmap

  • モノ・インストラクション・セット・コンピュータ(MISC)の並列実装

    伊藤 宏幸, 渡邊 実

    電気関係学会東海支部連合大会  2012年9月 

     詳細を見る

  • 高速動的再構成型ビジョンチップアーキテクチャによるアナログ画像検出

    上窪勇貴, 渡邊 実, 川人祥二

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:立命館大学  

    researchmap

  • A uniform partitioning method for Mono-Instruction Set Computer (MISC)

    H. Ito, M, Watanabe

    International Workshop on Renewable Computing Systems  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Melbourne, Australia  

    researchmap

  • 0.18 μmプロセス反転・非反転光再構成型ゲートアレイVLSI

    渡邊 貴弘, 渡邊 実

    電気関係学会東海支部連合大会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:豊橋技術科学大学  

    researchmap

  • 再構成速度調整アナログビットを含む光再構成型ゲートアレイのコンテキスト重ね合わせによる構成高速化手法

    余座貴志, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:立命館大学  

    researchmap

  • A 256-configuration-context MEMS optically reconfigurable gate array

    Y. Yamaji, M. Watanabe

    International Conference on Solid State Devices and Materials  2012年9月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto, Japan  

    researchmap

  • 光再構成型ゲートアレイ用マルチコンテキストデータの液晶ホログラム記録

    荻原昭文, 渡邊実

    第73回応用物理学会学術講演会  2012年9月 

     詳細を見る

    記述言語:日本語  

    開催地:愛媛大学  

    researchmap

  • 0.18 um CMOS process high-sensitive differential optically reconfigurable gate array VLSI

    T. Watanabe, M. Watanabe

    IEEE Computer Society Annual Symposium on VLSI  2012年8月 

     詳細を見る

    記述言語:英語  

    開催地:Amherst, USA  

    researchmap

  • Inversion/Non-Inversion Reconfiguration Scheme for a 0.18 Um CMOS Process Optically Reconfigurable Gate Array VLSI

    T. Watanabe, M. Watanabe

    IEEE International Midwest Symposium on Circuits and Systems  2012年8月 

     詳細を見る

    記述言語:英語  

    開催地:Boise, USA  

    researchmap

  • A 16-configuration-context robust optically reconfigurable gate array with a reconfiguration speed adjustment function

    T. Yoza, M. Watanabe

    IEEE International Conference on Field Programmable Logic and Applications  2012年8月 

     詳細を見る

    記述言語:英語  

    開催地:Oslo, Norway  

    researchmap

  • Detection and compensation methods of alignment errors between a programmable optically reconfigurable gate array and its writer system

    S. Kubota, M. Watanabe

    National Aerospace & Electronics Conference  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Dayton, USA  

    researchmap

  • A 4-configuration Context Fiber-linked Optically Reconfigurable Gate Array

    Y. Ueno, M. Watanabe

    OptoElectronics and Communications Conference  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea  

    researchmap

  • Optical configuration acceleration on a new optically reconfigurable gate array VLSI using a negative logic implementation

    R. Moriwaki, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2012年7月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • A 16-configuration-context dynamic optically reconfigurable gate array with a dependable laser array

    T. Yoza, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2012年6月 

     詳細を見る

    記述言語:英語  

    開催地:Nuremberg, Germany  

    researchmap

  • 0.18 um CMOS process high-sensitive optically reconfigurable gate array VLSI

    T. Watanabe, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2012年5月 

     詳細を見る

    記述言語:英語  

    開催地:Okinawa, Japan  

    researchmap

  • FPGA Connect6 Solver with Hardware Sort Units

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, T, Watanabe, Y. Aoyama, M. Seo, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2012年5月 

     詳細を見る

    記述言語:英語  

    開催地:Okinawa, Japan  

    researchmap

  • High speed - low power optical configuration on an ORGA with a phase-modulation type holographic memory

    T. Watanabe, M. Watanabe

    Reconfigurable Architectures Workshop  2012年5月 

     詳細を見る

    記述言語:英語  

    開催地:Shanghai, China  

    researchmap

  • 光再構成型ゲートアレイの再構成速度調整手法とレーザアレイ故障からの復旧試験

    余座貴志, 渡邊 実

    電子情報通信学会東海支部卒業研究発表会  2012年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜大学  

    researchmap

  • 0.18 μm CMOSプロセス差分光再構成型ゲートアレイVLSI

    渡邊貴弘, 渡邊実

    情報処理学会 全国大会  2012年3月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋工業大学  

    researchmap

  • 光再構成型ゲートアレイへの三重回路実装

    鳥飼勇希, 渡邊 実

    電子情報通信学会東海支部卒業研究発表会  2012年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜大学  

    researchmap

  • Mono-instruction computer on a dynamically reconfigurable gate array

    Y. Nihira,M, Watanabe

    Workshop on Synthesis And System Integration of Mixed Information technologies  2012年3月 

     詳細を見る

    記述言語:英語  

    開催地:Beppu, Japan  

    researchmap

  • Triple module redundancy of a laser array driver circuit for optically reconfigurable gate arrays

    T. Watanabe, M. Watanabe

    International Workshop on Applied Reconfigurable Computing  2012年3月 

     詳細を見る

    記述言語:英語  

    開催地:CUHK, Hong Kong  

    researchmap

  • A full dynamically reconfigurable vision-chip system including a lens-array

    Y. Kamikubo,M, Watanabe,S. Kawahito

    Workshop on Synthesis And System Integration of Mixed Information technologies  2012年3月 

     詳細を見る

    記述言語:英語  

    開催地:Beppu, Japan  

    researchmap

  • 0.18μmプロセス光再構成型ゲートアレイVLSI

    渡邊貴弘, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2012年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応大学  

    researchmap

  • Dependable optically reconfigurable gate array 招待

    M. Watanabe

    2012 International Workshop on Advanced Nanovision Science  2012年1月 

     詳細を見る

  • ダイナミック光再構成型ゲートアレイのレーザアレイ故障からの復旧試験

    余座貴志, 渡邊実

    電子情報通信学会技術研究報告(回路とシステム研究会)  2012年1月 

     詳細を見る

    記述言語:日本語  

    開催地:九州大学  

    researchmap

  • Binary MEMS optically reconfigurable gate array for an artificial brain system

    Y. Yamaji, M. Watanabe

    International Symposium on Artificial Life and Robotics  2012年1月 

     詳細を見る

    記述言語:英語  

    開催地:Beppu, Japan  

    researchmap

  • 再構成速度調整アナログビットを含む光再構成型ゲートアレイのレーザアレイ故障からの復旧試験

    余座貴志, 渡邊 実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会  2012年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応大学  

    researchmap

  • An FPGA Connect6 Solver with a Two-Stage Pipelined Evaluation

    T. Watanabe, R. Moriwaki, Y. Yamaji, Y. Kamikubo, Y. Torigai, Y. Nihira, T. Yoza, Y. Ueno, Y. Aoyama, M. Watanabe

    IEEE International Conference on Field-Programmable Technology  2011年12月 

     詳細を見る

    記述言語:英語  

    開催地:New Delhi, India  

    researchmap

  • Full liquid crystal spatial light modulator writer system for a programmable optically reconfigurable gate array

    S. Kubota, M. Watanabe

    MICROOPTICS CONFERENCE  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:Sendai, Japan  

    researchmap

  • 光再構成型ゲートアレイの再構成速度調整手法

    余座貴志, 渡邊実

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2011年11月 

     詳細を見る

    記述言語:日本語  

    開催地:ニューウェルシティ宮崎  

    researchmap

  • Multi-context optically reconfigurable gate array using a polymer-dispersed liquid crystal holographic memory

    R. Moriwaki, M, Watanabe,A. Ogiwara, F. Kobayashi

    MICROOPTICS CONFERENCE  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:Sendai, Japan  

    researchmap

  • Holographic memory formed by multi-context reconfiguring for optically reconfigurable gate array

    A. Ogiwara, M, Watanabe,F. Kobayashi

    MICROOPTICS CONFERENCE  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:Sendai, Japan  

    researchmap

  • Triple module redundancy scheme on an optically reconfigurable gate array

    Y. Torigai, M. Watanabe

    International SoC Design Conference  2011年11月 

     詳細を見る

    記述言語:英語  

    開催地:Jeju, Korea  

    researchmap

  • レンズアレイを使用した高速動的再構成型ビジョンチップアーキテクチャ

    上窪勇貴, 渡邊実

    電子情報通信学会技術研究報告(信号処理研究会)  2011年10月 

     詳細を見る

    記述言語:日本語  

    開催地:宮城県 作並温泉  

    researchmap

  • 光再構成型ゲートアレイに対する多数決レーザ駆動回路

    渡邊貴弘, 渡邊実

    電気関係学会東海支部連合大会  2011年9月 

     詳細を見る

    記述言語:日本語  

    開催地:三重大学  

    researchmap

  • 動的再構成ビジョンチップアーキテクチャ上での並列テンプレートマッチング処理

    山地勇一郎, 中田浩成, 渡邊 実, 川人祥二

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2011年9月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学  

    researchmap

  • モノ・インストラクション・コンピュータの実装

    仁平優基, 渡邊実

    電気関係学会東海支部連合大会  2011年9月 

     詳細を見る

    記述言語:日本語  

    開催地:三重大学  

    researchmap

  • A 144-configuration context MEMS optically reconfigurable gate array

    Y. Yamaji, M. Watanabe

    IEEE International SOC Conference  2011年9月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • 光再構成型ゲートアレイへの三重回路実装

    鳥飼勇希, 渡邊実

    電気関係学会東海支部連合大会  2011年9月 

     詳細を見る

    記述言語:日本語  

    開催地:三重大学  

    researchmap

  • Dependable optically reconfigurable gate array with a phase-modulation type holographic memory

    T. Watanabe, M. Watanabe

    International conference on Field-Programmable Logic and its Applications  2011年8月 

     詳細を見る

    記述言語:英語  

    開催地:Chania, Crete, Greece  

    researchmap

  • Novel dynamic module multiple redundancy for optically reconfigurable gate arrays 招待

    M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2011年8月 

     詳細を見る

  • Dynamic reconfiguration on a dynamically reconfigurable vision-chip architecture

    A. Gundjalam, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2011年7月 

     詳細を見る

  • Parallel Template Matching Operations on a Dynamically Reconfigurable Vision-Chip Architecture

    H. Nakada, M, Watanabe,S. Kawahito

    IEEE Northeast Workshop on Circuits and Systems  2011年6月 

     詳細を見る

    記述言語:英語  

    開催地:Bordeaux, France  

    researchmap

  • Optically reconfigurable gate array with a polymer-dispersed liquid crystal holographic memory

    T. Mabuchi, M, Watanabe,A. Ogiwara, F. Kobayashi

    NASA/ESA Conference on Adaptive Hardware and Systems  2011年6月 

     詳細を見る

    記述言語:英語  

    開催地:California, USA  

    researchmap

  • A MEMS writer system embedded for a programmable optically reconfigurable gate array

    S. Kubota, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2011年6月 

     詳細を見る

    記述言語:英語  

    開催地:London, United Kingdom  

    researchmap

  • A 16-laser array for an optically reconfigurable gate array

    T. Watanabe, M. Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2011年5月 

     詳細を見る

    記述言語:英語  

    開催地:Santa Monica, USA  

    researchmap

  • 位相ホログラムを用いたディペンダブル光再構成型ゲートアレイ

    渡邊貴弘, 渡邊実

    LSIとシステムのワークショップ  2011年5月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州国際会議場  

    researchmap

  • A configuration speed acceleration method for a sequential circuit using a negative logic implementation

    R. Moriwaki, M. Watanabe

    IEEE International Conference on Space Optical Systems and Applications  2011年5月 

     詳細を見る

    記述言語:英語  

    開催地:Santa Monica, USA  

    researchmap

  • 位相ホログラムを用いた光再構成型ゲートアレイ

    渡邊貴弘, 渡邊実

    光エレクトロニクス研究会  2011年5月 

     詳細を見る

  • 256コンテキストMEMS光再構成型ゲートアレイ

    山地勇一郎, 渡邊実

    リコンフィギャラブルシステム研究会  2011年5月 

     詳細を見る

    記述言語:日本語  

    開催地:北海道大学  

    researchmap

  • Reduction method of refresh cycles for a dynamic optically reconfigurable gate array

    Y. Aoyama, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2011年4月 

     詳細を見る

    記述言語:日本語  

    開催地:Yokohama, Japan  

    researchmap

  • 宇宙空間用ロバスト・プログラマブルデバイス

    渡邊 貴弘, 渡邊 実

    電子情報通信学会 卒業研究発表会  2011年3月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 動的光再構成型ビジョンチップを用いたテンプレートマッチング

    中田 浩成, 渡邊 実

    電子情報通信学会 卒業研究発表会  2011年3月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • MEMS interleaving read operation of a holographic memory for optically reconfigurable gate arrays

    H. Morita, M. Watanabe

    International Workshop on Applied Reconfigurable Computing  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:United Kingdom, March  

    researchmap

  • Programming Options for an Optical FPGA with Clockwise Dynamic Reconfigurability

    F. Matsusaki, F. Kobayashi, A. Nagino, M. Watanabe

    International Conference on Innovative Computing and Communication and Asia-Pacific Conference on Information Technology and Ocean Engineering  2011年3月 

     詳細を見る

    記述言語:英語  

    開催地:Macao, China  

    researchmap

  • MEMSマルチコンテキスト 光再構成型ゲートアレイ

    山地 勇一郎, 渡邊 実

    電子情報通信学会 卒業研究発表会  2011年3月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • プログラマブル光再構成型ゲートアレイとDMDを用いたライター

    久保田,渡邊

    レーザー学会学術講演会第31回年次大会  2011年1月 

     詳細を見る

    記述言語:日本語  

    開催地:電気通信大学  

    researchmap

  • MEMSダイナミック光再構成型ゲートアレイにおけるMEMS組立精度

    森田,渡邊

    電子情報通信学会技術研究報告(VLIS設計研究会)  2011年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応大学  

    researchmap

  • A 64-context MEMS optically reconfigurable gate array

    Y. Yamaji, M. Watanabe

    IEEE International Conference on Field-Programmable Technology  2010年12月 

     詳細を見る

    記述言語:英語  

    開催地:Beijing, China  

    researchmap

  • Background light affect of a dynamically reconfigurable vision-chip architecture

    R. Moriwaki, M. Watanabe

    IEEE International Symposium on System Integration  2010年12月 

     詳細を見る

    開催地:Sendai, Japan  

    researchmap

  • ホログラム閾値可変によるMEMSダイナミック光再構成型ゲートアレイの動作効率改善法

    森田,渡邊

    第8回情報学ワークショップ(WiNF2010)  2010年12月 

     詳細を見る

    記述言語:日本語  

    開催地:名古屋大学  

    researchmap

  • Othello solver based on a soft-core MIMD processor array

    T. Mabuchi, T, Watanabe, R. Moriwaki, Y. Aoyama, A. Gundjalam, Y. Yamaji, H. Nakada, M. Watanabe

    IEEE International Conference on Field-Programmable Technology  2010年12月 

     詳細を見る

    記述言語:英語  

    開催地:Beijing, China  

    researchmap

  • Multi-context programmable optically reconfigurable gate array using a silver-halide holographic memory

    S. Kubota, M. Watanabe

    IEEE International Symposium on System Integration  2010年12月 

     詳細を見る

    記述言語:英語  

    開催地:Sendai, Japan  

    researchmap

  • ホログラムメモリシステムのレーザーアレイ故障の復旧方法

    渡邊貴弘, 渡邊実

    第54回宇宙科学技術連合講演会  2010年11月 

     詳細を見る

    記述言語:日本語  

    開催地:静岡県コンベンションアーツセンター「グランシップ」  

    researchmap

  • A retention time improvement method for a MEMS dynamic optically reconfigurable gate array

    H. Morita, M. Watanabe

    International Symposium on Micro-NanoMechatronics and Human Science  2010年11月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya, Japan  

    researchmap

  • ダイナミック光再構成型ゲートアレイの動的部分再構成の性能評価

    グンジャラム アマルジャルガル,渡邊

    電子情報通信学会技術研究報告(電子部品・材料研究会)  2010年11月 

     詳細を見る

    記述言語:日本語  

    開催地:九州大学  

    researchmap

  • High-speed fiber-linked remote reconfiguration

    Y. Ueno, M. Watanabe

    IEEE TENCON  2010年11月 

     詳細を見る

    記述言語:英語  

    開催地:Fukuoka, Japan  

    researchmap

  • Template matching operations on a dynamically reconfigurable vision-chip architecture

    H. Nakada, M. Watanabe

    International Symposium on Communications and Information Technologies  2010年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • Development of optically reconfigurable gate arrays 招待

    M. Watanabe

    International Symposium on Optical Memory  2010年10月 

     詳細を見る

  • Fault tolerance of a holographic storage system

    T. Watanabe, M. Watanabe

    International Symposium on Communications and Information Technologies  2010年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • Formation of holographic memory using subwavelength grating mask for optically reconfigurable gate array

    A. Ogiwara, M, Watanabe,T. Mabuchi, F. Kobayashi

    MICROOPTICS CONFERENCE  2010年10月 

     詳細を見る

    記述言語:英語  

    開催地:Hsinchu, Taiwan  

    researchmap

  • Recovery method for a laser array failure on Dynamic Optically Reconfigurable Gate Arrays

    D. Seto, M, Watanabe

    IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems  2010年10月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto, Japan  

    researchmap

  • Estimation of characteristic variation of photodiodes and its compensation method in an optically reconfigurable gate array

    Y. Aoyama, M. Watanabe

    IEEE International SOC Conference  2010年9月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory including six configuration contexts

    S. Kubota, M. Watanabe

    International Conference on Solid State Devices and Materials  2010年9月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • 光再構成型ゲートアレイの応用 招待

    渡邊 実

    リコンフィギャラブル研究会  2010年9月 

     詳細を見る

  • 光再構成型ゲートアレイにおけるMEMSアドレッシング技術

    森田,渡邊

    リコンフィギャラブルシステム研究会  2010年9月 

     詳細を見る

    記述言語:日本語  

    開催地:静岡大学  

    researchmap

  • 高速動的光再構成型イメージセンサのよる4点のテンプレートマッチング

    中田, 渡邊実

    機構デバイス研究会  2010年8月 

     詳細を見る

    記述言語:日本語  

    開催地:千歳アルカディアプラザ  

    researchmap

  • A binary MEMS Optically Reconfigurable Gate Array

    H. Morita, M. Watanabe

    IEEE/ACIS International Conference on Computer and Information Science  2010年8月 

     詳細を見る

    記述言語:英語  

    開催地:Yamagata, Japan  

    researchmap

  • 偏光依存性ホログラムメモリの偏光スイッチングを用いた光再構成試験

    間渕,渡邊, 荻原,小林

    平成22年度電気関係学会東海支部連合大会  2010年8月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • Relationship between alignment errors of optical components and power consumption in optoelectronic devices

    H. Morita, M. Watanabe

    IEEE CPMT Symposium Japan  2010年8月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • 64コンテキストMEMS光再構成型ゲートアレイ

    山地, 渡邊実

    機構デバイス研究会  2010年8月 

     詳細を見る

    記述言語:日本語  

    開催地:千歳アルカディアプラザ  

    researchmap

  • Dynamically reconfigurable vision chip architecture

    M. Yasuda, M. Watanabe

    International conference on Field-Programmable Logic and its Applications  2010年8月 

     詳細を見る

    記述言語:英語  

    開催地:Milano, Italy  

    researchmap

  • 銀塩ホログラムを用いた4コンテキスト・プログラマブル光再構成型ゲートアレイ

    久保田,渡邊

    平成22年度電気関係学会東海支部連合大会  2010年8月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • 光再構成型ゲートアレイのレーザアレイ故障の復旧方法

    渡邊貴弘, 渡邊実

    機構デバイス研究会  2010年8月 

     詳細を見る

    記述言語:日本語  

    開催地:千歳アルカディアプラザ  

    researchmap

  • Excellent Fault Tolerance of a MEMS Optically Differential Reconfigurable Gate Array

    H. Morita, M. Watanabe

    Optical MEMS and Nanophotonics  2010年8月 

     詳細を見る

    記述言語:英語  

    開催地:Sapporo, Japan  

    researchmap

  • Influence Analysis of a Holographic Memory Window of a Programmable Optically Reconfigurable Gate Array

    S. Kubota, M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2010年8月 

     詳細を見る

    記述言語:英語  

    開催地:Seattle, USA  

    researchmap

  • Fiber remote configuration for a dynamic optically reconfigurable gate array

    Yumiko Ueno, M. Watanabe

    Optoelectronics and Communications Conference  2010年7月 

     詳細を見る

    記述言語:英語  

    開催地:Sapporo, Japan  

    researchmap

  • Partial block-by-block reconfiguration for a dynamic optically reconfigurable gate array

    D. Seto, M, Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2010年7月 

     詳細を見る

  • Programmable optically reconfigurable gate array using a silver-halide holographic memory

    S. Kubota, M. Watanabe

    Optoelectronics and Communications Conference  2010年7月 

     詳細を見る

    記述言語:英語  

    開催地:Sapporo, Japan  

    researchmap

  • Recovery method for a turn-off failure mode of a laser array on an ORGA

    D. Seto, M, Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2010年6月 

     詳細を見る

    記述言語:英語  

    開催地:California, USA  

    researchmap

  • A four-context optically reconfigurable gate array using a laser array attachment

    T. Mabuchi, M. Watanabe

    International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  2010年6月 

     詳細を見る

    記述言語:英語  

    開催地:Tsukuba, Japan  

    researchmap

  • Acceleration method of optical reconfigurations using analog configuration contexts

    Y. Aoyama, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2010年6月 

     詳細を見る

    記述言語:英語  

    開催地:California, USA  

    researchmap

  • 明点ビット数の最小化による順序回路の高速構成手法

    森脇,渡邊

    LSIとシステムのワークショップ  2010年5月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州国際会議場  

    researchmap

  • ダイナミック光再構成型ゲートアレイのばらつき補正技術

    青山,渡邊

    LSIとシステムのワークショップ  2010年5月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州国際会議場  

    researchmap

  • A 100-Context Optically Reconfigurable Gate Array

    M. Nakajima, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2010年5月 

     詳細を見る

    記述言語:英語  

    開催地:Paris, France  

    researchmap

  • Configuration power reduction effect of an ORGA with analog configuration contexts

    Y. Aoyama, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2010年4月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan  

    researchmap

  • Power reduction method using negative logic implementation

    R. Moriwaki, M. Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2010年4月 

     詳細を見る

  • 動的再構成を用いたイメージセンサ

    保田,渡邊

    画像工学研究会  2010年3月 

     詳細を見る

    記述言語:日本語  

    開催地:東北大学  

    researchmap

  • 液晶を用いた光再構成型ゲートアレイ用異方性ホログラムメモリの作製

    荻原,越智, 渡邊実, 間渕,小林

    第57回応用物理学関係連合講演会  2010年3月 

     詳細を見る

    記述言語:日本語  

    開催地:東海大学湘南キャンパス  

    researchmap

  • MEMS dynamic optically reconfigurable gate array usable under a space radiation environment

    D. Seto, M, Watanabe

    International Workshop on Applied Reconfigurable Computing  2010年3月 

     詳細を見る

    記述言語:英語  

    開催地:Bangkok, Thailand  

    researchmap

  • 偏光依存性ホログラムメモリを用いた光再構成試験

    間渕,越智, 渡邊, 荻原,小林

    第57回応用物理学関係連合講演会  2010年3月 

     詳細を見る

    記述言語:日本語  

    開催地:東海大学湘南キャンパス  

    researchmap

  • ダイナミック光再構成型ゲートアレイのレーザー故障回避

    瀬戸,渡邊

    第57回応用物理学関係連合講演会  2010年3月 

     詳細を見る

    記述言語:日本語  

    開催地:東海大学湘南キャンパス  

    researchmap

  • 差分光再構成手法に対する不良耐性

    森田,渡邊

    画像工学研究会  2010年3月 

     詳細を見る

    記述言語:日本語  

    開催地:東北大学  

    researchmap

  • ダイナミック光再構成ゲートアレイのブロック再構成

    瀬戸,渡邊

    集積回路研究会  2010年1月 

     詳細を見る

    記述言語:日本語  

    開催地:東芝本社  

    researchmap

  • 銀塩ホログラムを用いたプログラマブル光再構成型ゲートアレイ

    久保田,渡邊

    VLSI設計技術研究会  2010年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応義塾大学  

    researchmap

  • アナログコンテクストを用いたフォトダイオード特性の補正手法

    青山,渡邊

    VLSI設計技術研究会  2010年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応義塾大学  

    researchmap

  • 光ファイバーを用いたリモートダイナミック光再構成型ゲートアレイ

    上野,渡邊

    VLSI設計技術研究会  2010年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応義塾大学  

    researchmap

  • A lens-less imaging holographic memory writer system for a programmable optically reconfigurable gate array

    S. Kubota, M. Watanabe

    International Conference on EMERGING TRENDS IN ELECTRONIC AND PHOTONIC DEVICES & SYSTEMS  2009年12月 

     詳細を見る

    記述言語:英語  

    開催地:Varanasi, INDIA  

    researchmap

  • 宇宙放射線に対して高い耐性を持つ光バッファリング手法

    中島,渡邊

    リコンフィギャラブルシステム研究会  2009年12月 

     詳細を見る

    記述言語:英語  

    開催地:高知文化プラザ  

    researchmap

  • 4コンテキスト・リモート光再構成型ゲートアレイ

    上野,渡邊

    集積回路研究会  2009年12月 

     詳細を見る

    記述言語:英語  

    開催地:静岡大学  

    researchmap

  • プログラマブル光再構成型ゲートアレイのホログラム窓の影響解析

    久保田,渡邊

    VLSI設計技術研究会  2009年12月 

     詳細を見る

    記述言語:日本語  

    開催地:高知文化プラザ  

    researchmap

  • MEMSを用いた反転・非反転ダイナミック光再構成型ゲートアレイ

    瀬戸,渡邊

    リコンフィギャラブルシステム研究会  2009年12月 

     詳細を見る

    記述言語:日本語  

    開催地:高知文化プラザ  

    researchmap

  • MEMS inversion/non-inversion dynamic optically reconfigurable gate array

    D. Seto, M, Watanabe

    IEEE International Symposium on System Integration  2009年12月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo,Japan  

    researchmap

  • 36-context dynamic optically reconfigurable gate array

    M. Nakajima, M. Watanabe

    IEEE International Symposium on System Integration  2009年12月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • 100コンテキスト光再構成型ゲートアレイ

    中島,渡邊

    第10回計測自動制御学会システムインテグレーション部門 講演会  2009年12月 

     詳細を見る

    記述言語:日本語  

    開催地:芝浦工業大学  

    researchmap

  • An optical configuration acceleration method using negative logic implementation

    R. Moriwaki, M. Watanabe

    International Conference on EMERGING TRENDS IN ELECTRONIC AND PHOTONIC DEVICES & SYSTEMS  2009年12月 

     詳細を見る

    記述言語:英語  

    開催地:Varanasi, INDIA  

    researchmap

  • Fault tolerance analysis of MEMS holographic memory for DORGAs

    D. Seto, M, Watanabe

    International Symposium on Micro-NanoMechatronics and Human Science  2009年11月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya, Japan  

    researchmap

  • A 36-context optically reconfigurable gate array

    M. Nakajima, M. Watanabe

    International SoC Design Conference  2009年11月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea  

    researchmap

  • Fiber remote configuration for an optically reconfigurable gate array

    Yumiko Ueno, M. Watanabe

    International SoC Design Conference  2009年11月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea  

    researchmap

  • MEMS Optically Differential Reconfigurable Gate Array

    H. Morita, M. Watanabe

    IEEE International Conference on Electron Devices and Solid-State Circuits  2009年11月 

     詳細を見る

    記述言語:英語  

    開催地:Xi’an, China  

    researchmap

  • ディジタルミラーデバイスを用いた差分光再構成型ゲートアレイ

    森田,渡邊

    電子情報通信学会技術研究報告(信号処理研究会)  2009年10月 

     詳細を見る

    記述言語:日本語  

    開催地:福井県 芦原温泉  

    researchmap

  • Formation of volume holographic memory using liquid-crystal composites for optically reconfigurable gate array

    A. Ogiwara, Y. Ochi, M. Miyake,M, Watanabe,T. Mabuchi, F. Kobayashi

    15th MICROOPTICS CONFERENCE  2009年10月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • アナログコンテキストを用いた光再構成の最適化手法

    青山,渡邊

    電子情報通信学会技術研究報告(信号処理研究会)  2009年10月 

     詳細を見る

    記述言語:日本語  

    開催地:福井県 芦原温泉  

    researchmap

  • A 13.75 ns holographic reconfiguration of an optically differential reconfigurable gate array

    M. Nakajima, M. Watanabe

    International Conference on Intelligent Information Hiding and Multimedia Signal Processing  2009年9月 

     詳細を見る

    記述言語:英語  

    開催地:Kyoto, Japan  

    researchmap

  • MEMSダイナミック光再構成型ゲートアレイの不良耐性

    瀬戸,渡邊

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2009年9月 

     詳細を見る

    記述言語:日本語  

    開催地:大阪大学  

    researchmap

  • 光ファイバを用いたリモート光再構成型ゲートアレイ

    上野,渡邊

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2009年9月 

     詳細を見る

    記述言語:日本語  

    開催地:大阪大学  

    researchmap

  • レンズ結像系を用いない4コンテキストプログラマブル光再構成型ゲートアレイ用ライター

    久保田,渡邊

    電子情報通信学会技術研究報告(リコンフィギャラブルシステム研究会)  2009年9月 

     詳細を見る

  • 負論理回路実装による光再構成の高速化手法

    森脇,渡邊

    電子情報通信学会技術研究報告(VLSI設計技術研究会)  2009年9月 

     詳細を見る

    記述言語:日本語  

    開催地:大阪大学  

    researchmap

  • レンズ結像系を用いないプログラマブル光再構成型ゲートアレイ用ライター

    久保田,渡邊

    宇宙科学技術連合講演会  2009年9月 

     詳細を見る

    記述言語:日本語  

    開催地:宇都宮大学  

    researchmap

  • MEMSを使用した光再構成技術

    森田,渡邊

    宇宙科学技術連合講演会  2009年9月 

     詳細を見る

    記述言語:日本語  

    開催地:京都大学  

    researchmap

  • MEMSを用いたダイナミック光再構成型ゲートアレイ

    瀬戸,渡邊

    電気関係学会東海支部連合大会  2009年9月 

     詳細を見る

  • 36コンテキスト光再構成形ゲートアレイにおける高速再構成

    中島,渡邊

    電気関係学会東海支部連合大会  2009年9月 

     詳細を見る

  • 不揮発性液晶ホログラムメモリを用いた光再構成型ゲートアレイの不良耐性

    間渕,宮城, 渡邊,荻原

    宇宙科学技術連合講演会  2009年9月 

     詳細を見る

    記述言語:日本語  

    開催地:京都大学  

    researchmap

  • MEMS Optically Reconfigurable Gate Array

    H. Morita, M. Watanabe

    International conference on Field-Programmable Logic and its Applications  2009年8月 

     詳細を見る

    記述言語:英語  

    開催地:Prague, Czech Republic  

    researchmap

  • デジタルミラーデバイスを用いた4コンテキスト光再構成

    森田,渡邊

    電子情報通信学会技術研究報告(光エレクトロニクス研究会)  2009年8月 

     詳細を見る

    記述言語:日本語  

    開催地:東北大学  

    researchmap

  • Fault tolerance of a dynamic optically reconfigurable gate array with a one-time writable volume holographic memory

    T. Mabuchi, K. Miyashiro,M, Watanabe,A. Ogiwara

    IEEE International Midwest Symposium on Circuits & Systems  2009年8月 

     詳細を見る

    記述言語:英語  

    開催地:Cancun, Mexico  

    researchmap

  • A multi-context programmable optically reconfigurable gate array without a beam splitter

    S. Kubota, M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2009年8月 

     詳細を見る

    記述言語:英語  

    開催地:Cancun, Mexico  

    researchmap

  • 36コンテキストダイナミック光再構成形ゲートアレイ

    中島,渡邊

    電子情報通信学会技術研究報告(レーザ・量子エレクトロニクス研究会)  2009年8月 

     詳細を見る

    記述言語:日本語  

    開催地:東北大学  

    researchmap

  • 不揮発性液晶ホログラムメモリを用いたダイナミック光再構成型ゲートアレイの故障耐性

    間渕,宮城, 渡邊,荻原

    電子情報通信学会技術研究報告(回路とシステム研究会)  2009年7月 

     詳細を見る

    記述言語:日本語  

    開催地:釧路市生涯学習センター  

    researchmap

  • Defect tolerance of an optically reconfigurable gate array with a one-time writable volume holographic memory

    T. Mabuchi, K. Miyashiro,M, Watanabe,A. Ogiwara

    NASA/ESA Conference on Adaptive Hardware and Systems  2009年7月 

     詳細を見る

    記述言語:英語  

    開催地:San Francisco, USA  

    researchmap

  • A sixteen-context dynamic optically reconfigurable gate array

    M. Nakajima, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2009年7月 

     詳細を見る

    記述言語:英語  

    開催地:San Francisco, USA  

    researchmap

  • A multi-context programmable optically reconfigurable gate array

    S. Kubota, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2009年7月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • Optically reconfigurable gate array with a one-time writable holographic memory

    T. Mabuchi, K. Miyashiro,M, Watanabe,A. Ogiwara

    International Conference on engineering of reconfigurable systems and algorithms  2009年7月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA,  

    researchmap

  • Alignment compensation method for an optically reconfigurable gate array

    H. Morita, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2009年7月 

     詳細を見る

    記述言語:英語  

    researchmap

  • A sixteen-context optically reconfigurable gate array

    M. Nakajima, M. Watanabe

    IEEE International Conference on Application-specific Systems, Architectures and Processors  2009年7月 

     詳細を見る

    記述言語:英語  

    開催地:Boston, USA  

    researchmap

  • Inversion/non-inversion implementation for an 11,424 gate-count dynamic optically reconfigurable gate array VLSI

    S. Kato, M, Watanabe

    International Symposium on Systems, Architectures, Modeling and Simulation  2009年7月 

     詳細を見る

    記述言語:英語  

    開催地:Samos, Greece  

    researchmap

  • デジタルミラーデバイスを用いた光再構成

    森田,渡邊

    電子情報通信学会技術研究報告(回路とシステム研究会)  2009年7月 

     詳細を見る

    記述言語:日本語  

    開催地:釧路市生涯学習センター  

    researchmap

  • A programmable dynamic optically reconfigurable gate array

    S. Kubota, M. Watanabe

    IEEE Northeast Workshop on Circuits and Systems  2009年6月 

     詳細を見る

    記述言語:英語  

    開催地:Toulouse, France  

    researchmap

  • A nine-context programmable optically reconfigurable gate array with semiconductor lasers

    S. Kubota, M. Watanabe

    IEEE/ACM Great Lake Symposium on Very Large Scale Integrated circuits  2009年5月 

     詳細を見る

    記述言語:英語  

    開催地:Boston, USA  

    researchmap

  • 光再構成型ゲートアレイの組み立て誤差・総合補正手法

    森田,渡邊

    LSIとシステムのワークショップ  2009年5月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州国際会議場  

    researchmap

  • 斜め入射光源を用いたプログラマブル光再構成型ゲートアレイ

    久保田,渡邊

    LSIとシステムのワークショップ  2009年5月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州国際会議場  

    researchmap

  • Fast reconfiguration experiments of an optically differential reconfigurable gate array with 9 configuration contexts

    M. Nakajima, M. Watanabe

    IEEE International Symposium on Circuits and Systems  2009年5月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • 不揮発性液晶ホログラムメモリを用いたダイナミック光再構成型ゲートアレイ

    間渕,宮城, 渡邊,荻原

    LSIとシステムのワークショップ  2009年5月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州国際会議場  

    researchmap

  • Power reduction effect of an inversion/non-inversion dynamic optically reconfigurable gate array

    S. Kato, M, Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2009年4月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan  

    researchmap

  • Dynamic optically reconfigurable gate array with high defect tolerance

    D. Seto, M, Watanabe

    IEEE Symposium on Low-Power and High-Speed Chips  2009年4月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan  

    researchmap

  • 11,424ゲートダイナミック光再構成型ゲートアレイへの多数決回路実装

    瀬戸,渡邊

    第56回応用物理学関係連合講演会  2009年3月 

     詳細を見る

    記述言語:英語  

    開催地:筑波大学  

    researchmap

  • Fast optical reconfigurations of a nine-context DORGA

    M. Nakajima, M. Watanabe

    International Workshop on Applied Reconfigurable Computing  2009年3月 

     詳細を見る

    記述言語:英語  

    開催地:Karlsruhe, Germany  

    researchmap

  • 16コンテキスト光再構成型ゲートアレイ

    中島,渡邊

    電子情報通信学会技術研究報告(CAS)  2009年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜長良川温泉国際会議場  

    researchmap

  • 不揮発性液晶ホログラムメモリを用いた光再構成型ゲートアレイ

    間渕,宮城, 渡邊,荻原

    電子情報通信学会技術研究報告(CAS)  2009年3月 

     詳細を見る

    記述言語:日本語  

    開催地:岐阜長良川温泉国際会議場  

    researchmap

  • プログラマブル・ダイナミック光再構成型ゲートアレイ

    久保田,渡邊

    第56回応用物理学関係連合講演会  2009年3月 

     詳細を見る

    記述言語:日本語  

    開催地:筑波大学  

    researchmap

  • An estimation of an inversion/non-inversion dynamic optically reconfigurable gate array VLSI

    S. Kato, M, Watanabe

    International Conference on Space Optical Systems and Applications  2009年2月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • Configuration experiments for an optically reconfigurable gate array with a silver-halide holographic memory

    M. Nakajima, M. Watanabe

    International Conference on Space Optical Systems and Applications  2009年2月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • A triple-module redundancy for an optically reconfigurable gate array

    S. Seto, M, Watanabe

    International Conference on Space Optical Systems and Applications  2009年2月 

     詳細を見る

    記述言語:英語  

    開催地:Tokyo, Japan  

    researchmap

  • An 11,424 Gate-Count Dynamic Optically Reconfigurable Gate Array with a Photodiode Memory Architecture

    D. Seto, M, Watanabe

    Asia and South Pacific Design Automation Conference  2009年1月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan  

    researchmap

  • 高速動的再構成が可能な光再構成型ゲートアレイ 招待

    渡邊 実

    レーザー学会学術講演会第29回年次大会  2009年1月 

     詳細を見る

  • An analogue reconfiguration period adjustment technique for optically reconfigurable gate arrays

    T. Mabuchi, M. Watanabe

    IEEE International Conference on Field Programmable Technology  2008年12月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • An 11,424 gate dynamic optically reconfigurable gate array VLSI

    M. Nakajima, M. Watanabe

    IEEE International Conference on Field Programmable Technology  2008年12月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • An Optical Configuration of an 11,424 Gate-count Dynamic Optically Reconfigurable Gate Array using a VCSEL

    D. Seto, M, Watanabe

    IEEE International Symposium on System Integration  2008年12月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya, Japan  

    researchmap

  • ダイナミック光再構成型ゲートアレイのゲートアレイ部の性能評価

    瀬戸,渡邊

    電子情報通信学会技術研究報告(ICD)  2008年12月 

     詳細を見る

    記述言語:日本語  

    開催地:東工大(大岡山)  

    researchmap

  • Inversion/non-inversion zero-overhead dynamic optically reconfigurable gate array VLSI

    S. Kato, M, Watanabe

    IEEE International Conference on Field Programmable Technology  2008年12月 

     詳細を見る

    記述言語:英語  

    開催地:Taipei, Taiwan  

    researchmap

  • 9コンテキスト差分光再構成型ゲートアレイの高速再構成試験

    中島,渡邊

    電子情報通信学会技術研究報告(ICD)  2008年12月 

     詳細を見る

    記述言語:日本語  

    開催地:東工大(大岡山)  

    researchmap

  • Programmable Optically Reconfigurable Gate Array Architecture using a PAL-SLM

    S. Kubota, M. Watanabe

    IEEE International Symposium on System Integration  2008年12月 

     詳細を見る

    記述言語:英語  

    開催地:Nagoya, Japan  

    researchmap

  • 大規模光再構成型ゲートアレイにおけるホログラムメモリの不良耐性

    瀬戸,渡邊

    電子情報通信学会技術研究報告(SDM)  2008年11月 

     詳細を見る

    記述言語:日本語  

    開催地:機械振興会館  

    researchmap

  • 宇宙放射線に対して高い耐性を持つ光再構成型ゲートアレイの高速再構成試験

    中島,渡邊

    第52回宇宙科学技術連合講演会  2008年11月 

     詳細を見る

    記述言語:日本語  

    開催地:淡路夢舞台国際会議場  

    researchmap

  • 完全並列プログラミングが可能なダイナミック光再構成型ゲートアレイ

    瀬戸,渡邊

    第52回宇宙科学技術連合講演会  2008年11月 

     詳細を見る

    記述言語:日本語  

    開催地:淡路夢舞台国際会議場  

    researchmap

  • 光再構成型ゲートアレイのホログラム部の組み立て精度について

    森田,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008年11月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州学術研究都市  

    researchmap

  • Multi-speed configuration for ORGAs

    M. Nakajima, M. Watanabe

    International Topical Meeting on Information Photonics  2008年11月 

     詳細を見る

    記述言語:英語  

    開催地:Hyogo, Japan  

    researchmap

  • A 9-context Optically Reconfigurable Gate Array

    T. Mabuchi, M. Watanabe

    International SoC Design Conference  2008年11月 

     詳細を見る

    記述言語:英語  

    開催地:Busan, Korea  

    researchmap

  • 反転・非反ダイナミック転光再構成アーキテクチャのエミュレーション試験

    加藤,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008年11月 

     詳細を見る

    記述言語:日本語  

    開催地:北九州学術研究都市  

    researchmap

  • Allowable alignment errors of components in an optically reconfigurable gate array

    H. Morita, M. Watanabe

    International Topical Meeting on Information Photonics  2008年11月 

     詳細を見る

    記述言語:英語  

    開催地:Hyogo, Japan  

    researchmap

  • 13.75ns高速ホログラム光再構成

    中島,渡邊

    電子情報通信学会技術研究報告(SDM)  2008年11月 

     詳細を見る

    記述言語:日本語  

    開催地:機械振興会館  

    researchmap

  • 9 コンテキスト光再構成型ゲートアレイ

    間渕,渡邊

    情報フォトニクス研究グループ研究会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:寸又峡公民館  

    researchmap

  • PAL-SLMを用いたプログラマブルなマルチコンテキスト光再構成型ゲートアレイ

    久保田,渡邊

    電子情報通信学会技術研究報告  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:金沢商工会議所会館  

    researchmap

  • 光再構成型ゲートアレイにおけるマルチスピード再構成

    中島,渡邊

    第69回応用物理学会学術講演会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • 光再構成型ゲートアレイへの多数決回路の実装

    野田,渡邊

    第69回応用物理学会学術講演会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • PAL-SLM を用いたプログラマブルな光再構成型ゲートアレイとライター

    久保田,渡邊

    第69回応用物理学会学術講演会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • ゼロオーバーヘッド・高速ダイナミック光再構成型ゲートアレイ VLSI

    加藤,渡邊

    第69回応用物理学会学術講演会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • 光再構成型ゲートアレイの組み立て精度

    森田,渡邊

    情報フォトニクス研究グループ研究会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:寸又峡公民館  

    researchmap

  • 反転・非反転ダイナミック光再構成アーキテクチャのゼロオーバーヘッド・ノンゼローバーヘッドVLSI の比較評価

    加藤,渡邊

    情報フォトニクス研究グループ研究会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:寸又峡公民館  

    researchmap

  • 大規模ゲート光再構成型ゲートアレイの保持時間の測定

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:岡山大学  

    researchmap

  • 大規模光再構成型ゲートアレイにおける高速再構成試験

    中島,渡邊

    電子情報通信学会技術研究報告  2008年9月 

     詳細を見る

  • 光再構成型ゲートアレイの組み立て許容誤差

    森田,渡邊

    第69回応用物理学会学術講演会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • Optical configuration using a silver-halide holographic memory including four configuration contexts

    D. Seto, M, Watanabe

    International Conference on Solid State Devices and Materials  2008年9月 

     詳細を見る

  • 再構成時間の最適化が可能なダイナミック光再構成型ゲートアレイ

    間渕,渡邊

    第69回応用物理学会学術講演会  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:中部大学  

    researchmap

  • 高速ダイナミック光再構成型ゲートアレイ VLSI

    加藤,渡邊

    電子情報通信学会技術研究報告(VLD)  2008年9月 

     詳細を見る

    記述言語:日本語  

    開催地:金沢商工会議所会館  

    researchmap

  • Analysis of retention time under multi-configuration on a DORGA

    D. Seto, M, Watanabe

    IEEE International SOC Conference  2008年9月 

     詳細を見る

    記述言語:英語  

    開催地:Newport Beach, USA  

    researchmap

  • 4コンテキストDORGAにおける高速再構成

    中島,渡邊

    電子情報通信学会技術研究報告  2008年8月 

     詳細を見る

    記述言語:日本語  

    開催地:東北大学  

    researchmap

  • Multi optical configuration using spreading beams

    N. Yamaguchi, M. Watanabe

    IEEE International Midwest Symposium on Circuits & Systems  2008年8月 

     詳細を見る

    記述言語:英語  

    researchmap

  • 大規模ダイナミック光再構成型ゲートアレイVLSIの評価

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2008年8月 

     詳細を見る

    記述言語:日本語  

    開催地:東北大学  

    researchmap

  • A 770ns holographic reconfiguration of a four-contexts DORGA

    M. Nakajima, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2008年7月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • MISC: Mono Instruction-Set Computer based on Dynamic Reconfiguration --- Proposal and a 6502 Perspective ---

    F. Kobayashi, Y. Morikawa, M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2008年7月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • A dynamic holographic reconfiguration on a four-context ODRGA

    M. Nakajima, M. Watanabe

    IEEE International Conference on Application-specific Systems, Architectures and Processors  2008年7月 

     詳細を見る

    記述言語:英語  

    開催地:Leuven, Belgium  

    researchmap

  • An inversion/non-inversion dynamic optically reconfigurable gate array 招待

    M. Watanabe, M. Nakajima

    World Scientific and Engineering Academy and Society International Conference on CIRCUITS  2008年7月 

     詳細を見る

  • A double or triple module redundancy model exploiting dynamic reconfigurations

    K. Shinohara, M. Watanabe

    NASA/ESA Conference on Adaptive Hardware and Systems  2008年6月 

     詳細を見る

    記述言語:英語  

    開催地:Noordwijk, Netherlands  

    researchmap

  • 4コンテキスト光再構成型ゲートアレイによる高速再構成

    中島真央, 渡邊 実

    リコンフィギャラブルシステム研究会  2008年5月 

     詳細を見る

    記述言語:日本語  

    開催地:会津大学  

    researchmap

  • 銀塩ホログラムメモリを用いたマルチコンテキスト・ダイナミック光再構成型ゲートアレイ

    瀬戸大作, 渡邊 実

    リコンフィギャラブルシステム研究会  2008年5月 

     詳細を見る

    記述言語:日本語  

    開催地:会津大学  

    researchmap

  • Defect tolerance of holographic configurations in ORGAs

    K. Shinohara, M. Watanabe

    IEEE International Parallel & Distributed Processing Symposium  2008年4月 

     詳細を見る

    記述言語:英語  

    開催地:Miami, USA  

    researchmap

  • A dynamic optically reconfigurable gate array with a silver-halide holographic memory

    D. Seto, M, Watanabe

    IEEE Computer Society Annual Symposium on VLSI  2008年4月 

     詳細を見る

    記述言語:英語  

    開催地:Montpellier, France  

    researchmap

  • A 937.5 ns multi-context holographic configuration with a 30.75 us retention time

    M. Nakajima, M. Watanabe

    IEEE International Parallel & Distributed Processing Symposium  2008年4月 

     詳細を見る

    記述言語:英語  

    開催地:Miami, USA  

    researchmap

  • 2つのVCSELレーザを用いたマルチコンテキスト光再構成型ゲートアレイ

    山口,渡邊

    第55回応用物理学関係連合講演会  2008年3月 

     詳細を見る

    記述言語:日本語  

    開催地:日本大学理工学部  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイにおける高速再構成

    中島,渡邊

    第55回応用物理学関係連合講演会  2008年3月 

     詳細を見る

    記述言語:日本語  

    開催地:日本大学理工学部  

    researchmap

  • 銀塩ホログラムを使用したダイナミック光再構成型ゲートアレイの保持時間の解析

    瀬戸,渡邊

    第55回応用物理学関係連合講演会  2008年3月 

     詳細を見る

    記述言語:日本語  

    開催地:日本大学理工学部  

    researchmap

  • 光再構成型ゲートアレイの組み立て誤差補正手法

    森田,渡邊

    電子情報通信学会技術研究報告(CAS)  2008年1月 

     詳細を見る

    記述言語:日本語  

    開催地:ホテルマリックス(宮崎)  

    researchmap

  • An optical reconfiguration system with four-contexts

    N. Yamaguchi, M. Watanabe

    International Conference on VLSI Design  2008年1月 

     詳細を見る

  • 反転・非反転ダイナミック光再構成アーキテクチャの比較評価

    加藤,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応義塾大学(日吉)  

    researchmap

  • 4コンテキスト光再構成型ゲートアレイの実証

    間渕,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応義塾大学(日吉)  

    researchmap

  • ダイナミック光再構成型ゲートアレイの高速再構成試験

    中島,渡邊

    電子情報通信学会技術研究報告  2008年1月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • ダイナミック光再構成アーキテクチュアの連続再構成における保持時間の解析

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2008年1月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • An acceleration and optimization method for optical reconfigurations

    M. Watanabe, N. Yamaguchi

    International Conference on VLSI Design  2008年1月 

     詳細を見る

    記述言語:英語  

    researchmap

  • 光再構成ゲートアレイのホログラムメモリに対する不良耐性解析

    篠原,渡邊

    電子情報通信学会技術研究報告  2008年1月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 9コンテキスト・プログラマブル光再構成型ゲートアレイとライター

    久保田,渡邊

    電子情報通信学会技術研究報告(RECONF)  2008年1月 

     詳細を見る

    記述言語:日本語  

    開催地:慶応義塾大学(日吉)  

    researchmap

  • 9コンテキスト光再構成ゲートアレイによる高速再構成

    中島,渡邊

    電子情報通信学会技術研究報告(CAS)  2008年1月 

     詳細を見る

    記述言語:日本語  

    開催地:ホテルマリックス(宮崎)  

    researchmap

  • Reconfigurations of a dynamic optically reconfigurable architecture under a constant laser exposure

    M. Watanabe, D. Seto

    IEEE International Conference on Electron Devices and Solid-State Circuits  2007年12月 

     詳細を見る

    記述言語:英語  

    researchmap

  • DORGA holographic memory architecture

    M. Watanabe, S. Fukagawa, F. Kobayashi

    IEEE International Conference on Microelectronics  2007年12月 

     詳細を見る

  • Reconfiguration performance analysis of a dynamic optically reconfigurable gate array architecture

    D. Seto, M. Watanabe

    IEEE International Conference on Field Programmable Technology  2007年12月 

     詳細を見る

    記述言語:英語  

    researchmap

  • A 62.5 ns holographic reconfiguration for an optically differential reconfigurable gate array

    M. Nakajima, M. Watanabe

    IEEE International Conference on Field Programmable Technology  2007年12月 

     詳細を見る

    記述言語:英語  

    researchmap

  • 動的再構成のための配置配線の一手法

    日高, 小林,渡邊

    電子情報通信学会技術研究報告  2007年11月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 照射時間を一定としたダイナミック光再構成型ゲートアレイの保持時間の解析

    瀬戸,渡邊

    電子情報通信学会技術研究報告  2007年10月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • ダイナミック光再構成アーキテクチュアの再構成時間と保持時間の測定

    瀬戸,渡邊

    情報通信学会技術研究報告  2007年10月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイによる高速動的光再構成

    中島,渡邊

    電子情報通信学会技術研究報告  2007年10月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • コンテキスト重ね合わせによる高速光再構成

    山口,渡邊

    電子情報通信学会技術研究報告  2007年10月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • A 11,424 gate-count zero-overhead dynamic optically reconfigurable gate array VLSI

    M. Watanabe

    IEEE International SOC Conference  2007年9月 

     詳細を見る

    記述言語:英語  

    researchmap

  • ODRGA-VLSIのゲートアレイ動作時における高速再構成

    中島,渡邊

    電子情報通信学会技術研究報告  2007年9月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • マルチコンテキスト光再構成型ゲートアレイ

    山口,渡邊

    電子情報通信学会技術研究報告  2007年9月 

     詳細を見る

    記述言語:日本語  

    researchmap

  • Scaling Rule of Optically Differential Reconfigurable Gate Array VLSIs

    M. Watanabe, T. Shiki, F. Kobayashi

    IEEE International Midwest Symposium on Circuits & Systems  2007年8月 

     詳細を見る

    記述言語:英語  

    researchmap

  • 272 gate count optically differential reconfigurable gate array VLSI

    M. Watanabe, T. Shiki, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms  2007年6月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Optimization of reconfiguration speed control bits for an Optically Reconfigurable Gate Array

    M. Watanabe

    International Conference on engineering of reconfigurable systems and algorithms  2007年6月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Holographic memory reconfigurable VLSI

    M. Watanabe, F. Kobayashi

    IEEE International Symposium on Circuits and Systems  2007年5月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Power Consumption Reduction Method of Dynamic Optically Reconfigurable Gate Array VLSIs

    M. Watanabe, F. Kobayashi

    IEEE Symposium on Low-Power and High-Speed Chips  2007年4月 

     詳細を見る

    記述言語:英語  

    researchmap

  • A multi-context holographic memory recording system for Optically Reconfigurable Gate Arrays

    R. Miyazaki, M. Watanabe, F. Kobayashi

    IEEE International Parallel & Distributed Processing Symposium  2007年3月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Superimposing technique of reconfiguration contexts

    M. Watanabe, F. Kobayashi

    ACM/SIGDA International Symposium on Field Programmable Gate Arrays  2007年2月 

     詳細を見る

    記述言語:英語  

    researchmap

  • Superimposing technique of reconfiguration contexts for increasing reconfiguration speed

    M. Watanabe, F. Kobayashi

    Mobile Computing Hardware Architectures Design Symposium  2007年1月 

     詳細を見る

    記述言語:英語  

    開催地:Hawaii, USA  

    researchmap

  • A 0.35um CMOS 1,632-gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI

    M. Watanabe, F. Kobayashi

    Asia and South Pacific Design Automation Conference  2007年1月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan  

    researchmap

  • Optically Reconfigurable Gate Arrays vs.ASICs

    M. Watanabe, F. Kobayashi

    IEEE Asia Pacific Conference on Circuits and Systems  2006年12月 

     詳細を見る

    記述言語:英語  

    開催地:Waterfront, Singapore  

    researchmap

  • Over-Sampling PLL for Low-Jitter and Responsive Clock Synchronization

    M. Inoue, F. Kobayashi, M. Watanabe

    IEEE International Symposium on Communications and Information Technologies  2006年10月 

     詳細を見る

    記述言語:英語  

    開催地:Bangkok, Thailand  

    researchmap

  • A dynamic differential reconfiguration circuit for optically differential reconfigurable gate arrays

    M. Watanabe, R. Fujime, F. Kobayashi

    IEEE International Midwest Symposium on Circuits and Systems  2006年8月 

     詳細を見る

    記述言語:英語  

    開催地:San Juan, Puerto Rico  

    researchmap

  • A reconfiguration speed adjustment technique for ORGAs with a holographic memory

    M. Watanabe, F. Kobayashi

    International Conference on Field Programmable Logic and Applications  2006年8月 

     詳細を見る

    記述言語:英語  

    開催地:Madrid, Spain  

    researchmap

  • A logic synthesis and place and route environment for ORGAs

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms  2006年6月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • Shield effect analysis for a gate array on an Optically Reconfigurable Gate Array

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms  2006年6月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • Differential Reconfiguration Architecture suitable for a Holographic Memory

    M. Watanabe, M. Miyano, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms  2006年6月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • Hybrid Sample Rate Converter with 110dB SNR and 1/10 Less Logic Gates

    M. Inoue, F. Kobayashi, M. Watanabe

    IEEE International Conference on Electro / Information Technology  2006年5月 

     詳細を見る

    記述言語:英語  

    開催地:East Lansing, USA  

    researchmap

  • An optically differential reconfigurable gate array with a holographic memory

    M. Watanabe, M. Miyano, F. Kobayashi

    IEEE International parallel & Distributed Processing Symposium  2006年4月 

     詳細を見る

    記述言語:英語  

    開催地:Rhodes Island, Greece  

    researchmap

  • Power consumption advantage of a dynamic optically reconfigurable gate array

    M. Watanabe, F. Kobayashi

    IEEE International parallel & Distributed Processing Symposium  2006年4月 

     詳細を見る

    記述言語:英語  

    開催地:Rhodes Island, Greece  

    researchmap

  • A 1,632 gate-count zero-overhead Dynamic Optically Reconfigurable Gate Array VLSI

    M. Watanabe, F. Kobayashi

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science  2006年3月 

     詳細を見る

    記述言語:英語  

    開催地:Delft, Netherland  

    researchmap

  • A 476-gate-count Dynamic Optically Reconfigurable Gate Array VLSI chip in a standard 0.35um CMOS Technology

    M. Watanabe, F. Kobayashi

    Asia and South Pacific Design Automation Conference  2006年1月 

     詳細を見る

    記述言語:英語  

    開催地:Yokohama, Japan  

    researchmap

  • 光再構成型ゲートアレイの製造不良耐性

    日高, 渡邊実, 小林

    電子情報通信学会技術研究報告  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • High manufacturing defect- tolerance optically programmable architecture 招待

    M. Watanabe, F. Kobayashi

    World Scientific and Engineering Academy and Society International Conference on circuits, systems, electronics, control & signal processing  2006年 

     詳細を見る

  • 液晶ホログラムを用いた光再構成型ゲートアレイ

    中田, 渡邊実, 小林

    電子情報通信学会技術研究報告  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分光再構成型ゲートアレイの再構成時間・消費電力の最適化手法

    日高, 渡邊実, 小林

    電子情報通信学会技術研究報告  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • ダイナミック光再構成型ゲートアレイ

    深川, 渡邊実, 小林

    第11回計測自動制御学会九州支部講義会予稿  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 位相補間によるPLLの特性改善 ~ 位相補間回路の最適化 ~

    井上,小林, 渡邊実

    電子情報通信学会技術研究報告  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 位相補間によるPLLの特性改善:ジッタ低減のための高精度補間

    井上,小林, 渡邊実

    第11回計測自動制御学会九州支部講義会予稿  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • ゼロオーバーヘッド・ダイナミック光再構成型ゲートアレイ

    後藤, 渡邊実, 小林

    第11回計測自動制御学会九州支部講義会予稿  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • デジタルオーディオの統合開発環境 -RHDLによるFPGA開発-

    山口,小林, 渡邊実

    第11回計測自動制御学会九州支部講義会予稿  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 動的再構成システム開発環境

    櫻井,小林, 渡邊実

    第11回計測自動制御学会九州支部講義会予稿  2006年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • A zero-overhead Dynamic Optically Reconfigurable Gate Array

    M. Watanabe, F. Kobayashi

    IEEE International Conference on Field-Programmable Technology  2005年12月 

     詳細を見る

    記述言語:英語  

    開催地:Singapore  

    researchmap

  • Rapid Reconfiguration of an Optically Differential Reconfigurable Gate Array with Pulse Lasers

    M. Miyano, M. Watanabe, F. Kobayashi

    IEEE International Conference on Field-Programmable Technology  2005年12月 

     詳細を見る

    記述言語:英語  

    開催地:Singapore  

    researchmap

  • A 51,272-gate-count Dynamic Optically Reconfigurable Gate Array in a standard 0.35um CMOS Technology

    M. Watanabe, F. Kobayashi

    International Conference on Solid State Devices and Materials  2005年9月 

     詳細を見る

    記述言語:英語  

    開催地:Kobe, Japan  

    researchmap

  • Fourier/Filter Hybrid Sampling Rate Converter

    M. Inoue, F. Kobayashi, M. Watanabe

    SICE Annual Conference  2005年8月 

     詳細を見る

    記述言語:英語  

    開催地:Okayama, Japan  

    researchmap

  • Timing Analysis of an Optically Differential Reconfigurable Gate Array for Dynamically Reconfigurable Processors

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms  2005年5月 

     詳細を見る

  • A 16,000-gate-count Optically Reconfigurable Gate Array in a standard 0.35um CMOS Technology

    M. Watanabe, F. Kobayashi

    IEEE International Symposium on Circuits and Systems  2005年5月 

     詳細を見る

    記述言語:英語  

    開催地:Kobe, Japan  

    researchmap

  • Optically Differential Reconfigurable Gate Array using an optical system with VCSEL

    M. Miyano, M. Watanabe, F. Kobayashi

    IEEE Computer Society Annual Symposium on VLSI  2005年5月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa, USA  

    researchmap

  • An improved dynamic optically reconfigurable gate array

    M. Watanabe, F. Kobayashi

    IEEE Computer Society Annual Symposium on VLSI  2005年5月 

     詳細を見る

    記述言語:英語  

    開催地:Tampa, USA  

    researchmap

  • An Optically Differential Reconfigurable Gate Array VLSI chip with a dynamic reconfiguration circuit

    M. Watanabe, F. Kobayashi

    IEEE International parallel & Distributed Processing Symposium  2005年4月 

     詳細を見る

    記述言語:英語  

    開催地:Denver, USA  

    researchmap

  • A dynamic optically reconfigurable gate array using dynamic method

    M. Watanabe, F. Kobayashi

    International Workshop on Applied Reconfigurable Computing, Lecture Notes in Computer Science  2005年2月 

     詳細を見る

    記述言語:英語  

    開催地:Algarve, Portugal  

    researchmap

  • 光再構成型ゲートアレイの検査手法

    松尾, 渡邊実, 小林

    第24回計測自動制御学会九州支部学術講演会予稿  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 272ゲート規模ODRGA-VLSIの実装評価

    志岐, 渡邊実, 小林

    電子情報通信学会技術研究報告  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 複数のVCSELを用いたODRGAの再構成速度改善

    宮野, 渡邊実, 小林

    電子情報通信学会技術研究報告  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • PLLジッタ低減のためのオーバ・サンプリング位相比較器

    井上,小林, 渡邊実

    電子情報通信学会・2005ソサイエティ大会  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 位相補間によるPLLの特性改善

    井上,小林, 渡邊実

    第24回計測自動制御学会九州支部学術講演会予稿  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成ゲートアレイのプログラム支援環境

    櫻井,小林, 渡邊実

    第24回計測自動制御学会九州支部学術講演会予稿  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 動的再構成によるシステム実現

    渡邊実, 小林

    第6回システムインテグレーション部門講演会  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイの再構成回路の評価

    藤目, 渡邊実, 小林

    第24回計測自動制御学会九州支部学術講演会予稿  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 0.18umプロセスによる差分光再構成型ゲートアレイVLSI

    志岐, 渡邊実, 小林

    第24回計測自動制御学会九州支部学術講演会予稿  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • フィルタ/Fourierハイブリッド型サンプルレートコンバータ

    福井,小林, 渡邊実

    第24回計測自動制御学会九州支部学術講演会予稿  2005年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • An Optically Differential Reconfigurable Gate Array using a 0.18 um CMOS process

    M. Watanabe, F. Kobayashi

    IEEE International SOC Conference  2004年9月 

     詳細を見る

    記述言語:英語  

    開催地:Santa Clara, USA  

    researchmap

  • A high-density optically reconfigurable gate array using dynamic method

    M. Watanabe, F. Kobayashi

    International conference on Field-Programmable Logic and its Applications, Lecture Notes in Computer Science  2004年8月 

     詳細を見る

    記述言語:英語  

    開催地:Antwerp, Belgium  

    researchmap

  • Sampling rate conversion by Fourier interpolation

    M. Inoue, F. Kobayashi, M. Watanabe

    SICE Annual Conference  2004年8月 

     詳細を見る

    記述言語:英語  

    開催地:Sapporo, Japan  

    researchmap

  • An optical reconfiguration circuit for optically reconfigurable Gate Arrays

    M. Watanabe, F. Kobayashi

    IEEE International Midwest Symposium on Circuits and Systems  2004年7月 

     詳細を見る

    記述言語:英語  

    開催地:Hiroshima, Japan  

    researchmap

  • Testing method for optical connections using gate array structure in ORGAs

    M. Watanabe, F. Kobayashi

    International Conference on engineering of reconfigurable systems and algorithms  2004年6月 

     詳細を見る

    記述言語:英語  

    開催地:Las Vegas, USA  

    researchmap

  • An Optically Differential Reconfigurable Gate Array with a partial reconfiguration optical system and its power consumption estimation

    M. Watanabe, F. Kobayashi

    17th International Conference on VLSI Design  2004年1月 

     詳細を見る

    記述言語:英語  

    開催地:Mumbai, India  

    researchmap

  • 差分光再構成型ゲートアレイVLSI

    志岐, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイのプログラミング環境

    山角,小林, 渡邊実

    第23回計測自動制御学会九州支部学術講演会予稿  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • フーリエ補間によるサンプリング・レート変換

    井上,小林, 渡邊実

    第23回計測自動制御学会九州支部学術講演会予稿  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分型光再構成ゲートアレイの光再構成タイミング解析

    宮野, 渡邊実, 小林

    第23回計測自動制御学会九州支部学術講演会予稿  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分型光再構成ゲートアレイの領域分割照射

    宮野, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 0.18umプロセスによる差分光再構成型ゲートアレイ

    渡邊実, 小林

    電子情報通信学会技術研究報告  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分型光再構成ゲートアレイの照射領域と再構成速度の評価

    宮野, 渡邊実, 小林

    第8回システムLSIワークショップ  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • VCSELを用いた差分型光再構成ゲートアレイ

    宮野, 渡邊実, 小林

    電子情報通信学会・集積光デバイス技術時限研究専門委員会  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • ダイナミック差分光再構成型ゲートアレイ

    植田, 渡邊実, 小林

    第23回計測自動制御学会九州支部学術講演会  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイの検査手法

    松尾, 渡邊実, 小林

    第23回計測自動制御学会九州支部学術講演会予稿  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • パルスレーザを用いた差分型再構成ゲートアレイ

    宮野, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイの再構成回路の評価

    藤目, 渡邊実, 小林

    電子情報通信学会技術研究報告  2004年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • Design of an Optically Differential Reconfigurable Gate Array VLSI chip with optically and electrically controlled logic blocks

    M. Watanabe, F. Kobayashi

    IEEE International ASIC/SOC Conference  2003年9月 

     詳細を見る

    記述言語:英語  

    開催地:Portland, USA  

    researchmap

  • A finite physical quantity neural network VLSI with a learning capability

    M. Watanabe, F. Kobayashi

    SICE Annual Conference  2003年8月 

     詳細を見る

    記述言語:英語  

    開催地:Fukui , Japan  

    researchmap

  • Configuration for an Optically Differential Reconfigurable Gate Array

    M. Miyano, M. Watanabe, F. Kobayashi

    SICE Annual Conference  2003年8月 

     詳細を見る

    記述言語:英語  

    開催地:Fukui , Japan  

    researchmap

  • An Optically Differential Reconfigurable Gate Array with a dynamic reconfiguration circuit

    M. Watanabe, F. Kobayashi

    IEEE international parallel & Distributed Processing Symposium  2003年4月 

     詳細を見る

    記述言語:英語  

    開催地:Nice, France  

    researchmap

  • 差分光再構成型ゲートアレイに使用するダイナミック再構成回路

    藤目, 渡邊実, 小林

    電子情報通信学会技術研究報告  2003年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分型光再構成ゲートアレイVLSI部の遅延シミュレーション

    志岐, 渡邊実, 小林

    第22回計測自動制御学会九州支部学術講演会予稿  2003年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分型光再構成ゲートアレイの開発支援システム

    中村, 小林, 渡邊実

    第22回計測自動制御学会九州支部学術講演会予稿  2003年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分型光再構成ゲートアレイの連続再構成

    宮野, 渡邊実, 小林

    第22回計測自動制御学会九州支部学術講演会予稿  2003年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 差分型光再構成ゲートアレイの回路実装評価

    宮野, 渡邊実, 小林

    第7回システムLSIワークショップ  2003年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • An optically differential reconfigurable gate array and its power consumption estimation

    M. Watanabe, F. Kobayashi

    IEEE International Conference on Field-Programmable Technology  2002年12月 

     詳細を見る

    記述言語:英語  

    開催地:Hong Kong  

    researchmap

  • A Compressed Implementation of Neural Network with Finite Physical Quantities on FPGAs

    T. Sotohebo, M. Watanabe, F. Kobayashi

    SICE Annual Conference  2002年8月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka, Japan  

    researchmap

  • A Neural Network Model using Finite Physical Quantities and its Realization on LSIs

    M. Watanabe, F. Kobayashi

    SICE Annual Conference  2002年8月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka, Japan  

    researchmap

  • Motion Image Compression Circuit using the Silicon Retina as Active Sensor

    M. Amagasaki, F. Kobayashi, M. Watanabe, T. Yagi

    SICE Annual Conference  2002年8月 

     詳細を見る

    記述言語:英語  

    開催地:Osaka, Japan  

    researchmap

  • 有限な物理量を用いたニューラルネットワークモデルのLSI実装

    渡邊実, 小林

    第21回計測自動制御学会九州支部学術講演会予稿  2002年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 有限な物理量を用いたニューラルネットワーク

    渡邊実, 小林

    電子情報通信学会技術研究報告  2002年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 時間領域におけるサンプリングレート変換

    三浦, 小林, 渡邊実

    第21回計測自動制御学会九州支部・学術講演会予稿集  2002年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 有限な物理量を用いたニューラルネットワークモデルのVLSI実装

    渡邊実, 小林

    第6回システムLSIワークショップ  2002年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • An optical energy neural networkwith self-organizing capability

    M. Watanabe, A. Itoh, F. Kobayashi

    17th annual conference of International Conference on Circuits/Systems Computers and Communications, vol. 2  2001年7月 

     詳細を見る

    記述言語:英語  

    開催地:Tokushima, Japan  

    researchmap

  • FPGAを利用したニューラルネットワークのハードウエア実現

    外枦保, 渡邊実, 小林

    第40回計測自動制御学会予稿集  2001年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 新型エネルギーニューラルネットワークモデルのハードウエア実現

    渡邊実, 外枦保, 小林

    第40回計測自動制御学会予稿集  2001年 

     詳細を見る

  • ニューラルネットワークの回路実現 :再構成による可変パラメータアーキテクチャー

    外枦保, 渡邊実, 小林

    第5回システムLSIワークショップ  2001年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイ

    松本, 渡邊実, 小林

    第5回システムLSIワークショップ  2001年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 知能化工作機械のためのセンサー内蔵型計測工具

    千, 小林, 渡邊実

    第20回計測自動制御学会九州支部学術講演会予稿集  2001年 

     詳細を見る

  • シリコン網膜アクティブセンサによる動画像圧縮回路

    尼崎, 小林, 渡邊実, 八木

    第20回計測自動制御学会九州支部学術講演会予稿集  2001年 

     詳細を見る

  • エネルギーニューラルネットワークモデルを用いた負ニューロン生成方法

    渡邊実, 小林

    第20回計測自動制御学会九州支部学術講演会予稿集  2001年 

     詳細を見る

  • SIMD型アーキテクチュアにおける規則的不均一演算実装の手法

    松永, 小林, 渡邊実, 石川, 廣津

    第40回計測自動制御学会予稿集  2001年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイとその応用

    緒方, 渡邊実, 小林

    第40回計測自動制御学会予稿集  2001年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • アナログビジョンチップのための適応型前処理回路

    大都, 小林, 渡邊実, 八木

    第19回計測自動制御学会九州支部学術講演会予稿集  2000年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 多層エネルギー制限型ニューラルネットワークシステム

    渡邊実, 小林

    第19回計測自動制御学会九州支部学術講演会予稿集  2000年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • ニューラルネットワークの論理圧縮手法とそのLSI化

    渡邊実, 小林

    第39回計測自動制御学会学術講演会予稿集  2000年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイと応用

    緒方, 渡邊実, 小林

    第19回計測自動制御学会九州支部学術講演会予稿集  2000年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • デジタルビジョンチップによる並列特徴抽出

    松永, 小林, 渡邊実, 廣津

    第19回計測自動制御学会九州支部学術講演会予稿集  2000年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 2値マトリックスを持つ光アソシアトロンの階層化

    渡邊実, 大坪

    第41回応用物理学会予稿集  1994年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光RAMとLCTVを用いた光アソシアトロンの学習想起システムの検討

    渡邊実, 大坪

    第40回応用物理学会予稿集  1993年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • FLCとLCTVを用いた光アソシアトロンの学習

    渡邊実, 大坪, 竹森

    第40回応用物理学会予稿集  1993年 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 微小レンズアレイとLCTVを用いた光アソシアトロン

    渡邊実, 荻原, 大坪

    第39回応用物理学会予稿集  1992年 

     詳細を見る

    記述言語:日本語  

    researchmap

▼全件表示

受賞

  • 社会貢献賞

    2023年3月   岡山大学  

    渡邊実, 渡邊誠也

     詳細を見る

  • 学生奨励賞

    2023年3月   情報処理学会第85回全国大会  

    辻野 将

     詳細を見る

  • 教育功労賞

    2022年3月   電子情報通信学会   FPGA自動運転競技大会によるディジタルシステム教育の普及

    渡邊実

     詳細を見る

  • Best Paper Award

    2022年1月   IEEE Annual Computing and Communication Workshop and Conference (IEEE)  

    M. Watanabe

     詳細を見る

  • Best Paper Award

    2022年1月   IEEE Annual Computing and Communication Workshop and Conference (IEEE)  

    A. Ushiroyama

     詳細を見る

  • 優秀プレゼンテーション賞

    2021年12月   パルテノン研究会   FSLによる3値化CNNのFPGA実装

    尾崎洸人

     詳細を見る

  • 学生研究奨励賞

    2019年6月   社団法人電子情報通信学会東海支部  

    髙木雄介

     詳細を見る

    受賞国:日本国

    researchmap

  • VDEC デザインアワード 優秀賞

    2018年9月   東京大学 大規模集積システム設計教育センター   耐放射線FPGA

    藤森卓巳

     詳細を見る

  • 学生研究奨励賞

    2018年6月   社団法人電子情報通信学会東海支部  

    榛葉大樹

     詳細を見る

  • First Place in the FPGA Design Competition

    2017年7月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, Y. Ito, R. Terada, S. Fujisaki, T. Hatamochi, H. Shinba, M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2017年6月   社団法人電子情報通信学会東海支部  

    伊藤芳純

     詳細を見る

  • Best Paper Award

    2017年1月   IEEE Annual Computing and Communication Workshop and Conference  

    Minoru Watanabe

     詳細を見る

  • First Place of FPGA Design Competition

    2016年12月   International Conference on Field-Programmable Technology  

    T. Fujimori, Y. Ito, R. Terada, S. Fujisaki, T. Hatamochi, H. Shinba, M. Watanabe

     詳細を見る

  • エンベデッド部門 優勝

    2016年9月   第7回 相磯秀夫杯 デザインコンテスト(FIT2016 第15回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 伊藤芳純, 渡邊実

     詳細を見る

  • 一般部門 優勝

    2016年9月   第7回 相磯秀夫杯 デザインコンテスト(FIT2016 第15回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 伊藤芳純, 渡邊実

     詳細を見る

  • First Place of the Limited Category in the FPGA Design Competition

    2016年7月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, Y. Ito, T. Akabe, M. Watanabe

     詳細を見る

  • Excellent Oral Presentation Award

    2016年7月   International Conference on Mechanical and Aerospace Engineering (IEEE)  

    Minoru Watanabe

     詳細を見る

  • First Place of the Unlimited Category in the FPGA Design Competition

    2016年7月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, Y. Ito, T. Akabe, M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2016年6月   社団法人電子情報通信学会東海支部  

    赤部知也

     詳細を見る

  • First Place in FPGA Design Competition 2015

    2015年12月   International Conference on Field-Programmable Technology  

    T. Fujimori, T. Akabe, Y. Ito, K. Akagi, S. Furukawa, A. Tanibata, M. Watanabe

     詳細を見る

  • Best Student Paper Award

    2015年12月   IEEE International Conference on Aerospace Electronics and Remote Sensing Technology  

    Yoshizumi Ito

     詳細を見る

  • 一般部門 優勝

    2015年9月   第6回 相磯秀夫杯 デザインコンテスト(FIT2015 第14回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 赤部知也, 伊藤芳純, 瀬尾真人, 赤木昂太, 古川晋也, 渡邊実

     詳細を見る

  • エンベデッド部門 優勝

    2015年9月   第6回 相磯秀夫杯 デザインコンテスト (FIT2015 第14回情報科学技術フォーラム イベント企画)  

    藤森卓巳, 赤部知也, 伊藤芳純, 瀬尾真人, 赤木昂太, 古川晋也, 渡邊実

     詳細を見る

  • First Place in The MathWorks Blokus Duo Design Contest

    2015年6月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, S. Furukawa, H. Ito, M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2015年6月   社団法人電子情報通信学会東海支部  

    瀬尾真人

     詳細を見る

  • Second Prize in FPGA Design Contest 2014

    2014年12月   IEEE International Conference on Field-Programmable Technology  

    T. Mabuchi, T. Watanabe, R. Moriwaki, Y. Aoyama, A. Gundjalam, Y. Yamaji, H. Nakada, M Watanabe

     詳細を見る

  • Third Prize in FPGA Design Contest 2014

    2014年12月   International Conference on Field-Programmable Technology  

    T. Fujimori, R. Moriwaki, M. Seo, K. Akagi, H. Ito, T. Kubota, S. Furukawa, M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2014年6月   社団法人電子情報通信学会東海支部  

    藤森 卓巳

     詳細を見る

  • HDL Champion of the Regulation League in FPGA Design Contest 2014 AISO CUP

    2014年6月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, R. Moriwaki, T. Yoza, Y. Torigai, M. Watanabe

     詳細を見る

  • Winner of the Open League in FPGA Design Contest 2014 AISO CUP

    2014年6月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, R. Moriwaki, T. Yoza, Y. Torigai, M. Watanabe

     詳細を見る

  • Champion of the Regulation League in FPGA Design Contest 2014 AISO CUP

    2014年6月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    T. Fujimori, M. Seo, K. Akagi, R. Moriwaki, T. Yoza, Y. Torigai, M. Watanabe

     詳細を見る

  • Best Student Paper Award

    2014年5月   International Conference on Space Optical Systems and Applications  

    M. Seo

     詳細を見る

  • Best Paper Award

    2014年4月   International Workshop on Applied Reconfigurable Computing  

    T. Yoza, M. Watanabe

     詳細を見る

  • Presentation Master Award

    2014年3月   International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems  

    K. Akagi, M. Watanabe

     詳細を見る

  • 優秀卒業研究発表賞

    2014年3月   電子情報通信学会 東海支部 卒業研究発表会  

    瀬尾真人

     詳細を見る

  • 卓越研究者

    2014年1月   静岡大学  

    渡邊 実

     詳細を見る

  • First Place in FPGA Design Contest 2013 AISO CUP HDL

    2013年12月   IEEE International Conference on Field-Programmable Technology  

    T. Yoza, R. Moriwaki, Y. Torigai, Y. Kamikubo, T. Kubota, T. Watanabe, T. Fujimori, H. Ito, M. Seo, K. Akagi, Y. Yamaji, M. Watanabe

     詳細を見る

  • First Place in ICFPT Design Competition 2013

    2013年12月   IEEE International Conference on Field-Programmable Technology  

    T. Yoza, R. Moriwaki, Y. Torigai, Y. Kamikubo, T. Kubota, T. Watanabe, T. Fujimori, H. Ito, M. Seo, K. Akagi, Y. Yamaji, M. Watanabe

     詳細を見る

  • 優勝(第3回相磯秀夫杯FPGAデザインコンテスト)

    2013年9月   電子情報通信学会・リコンフィギャラブルシステム研究会  

    森脇烈, 余座貴志, 渡邊貴弘, 鳥飼勇希, 上窪勇貴, 山地勇一郎, 窪田貴之, 伊藤宏幸, 渡邊実

     詳細を見る

  • Best Poster Award

    2013年6月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2013年6月   社団法人電子情報通信学会東海支部  

    余座貴志

     詳細を見る

  • 優秀ポスター賞

    2013年3月   電子情報通信学会・総合大会「ISS特別企画・学生ポスターセッション」  

    山地勇一郎

     詳細を見る

  • First Place in ICFPT Design Competition

    2012年12月   IEEE International Conference on Field-Programmable Technology  

    R. Moriwaki, T. Yoza, Y. Kamikubo, Y. Torigai, A. Tanikawa, T. Kubota, H. Ito, Y. Shirahashi, M. Watanabe

     詳細を見る

  • First Place in FPGA Design Contest

    2012年6月   International Workshop on Highly Efficient Accelerators and Reconfigurable Technologies  

    R. Moriwaki, T. Yoza, T. Watanabe, Y. Kamikubo, Y. Torigai, Y. Shirahashi, H. Ito, T. Kubota, A. Tanikawa, Y. Yamaji, Y. Aoyama, M. Seo, M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2012年6月   社団法人電子情報通信学会東海支部  

    山地勇一郎

     詳細を見る

  • 学生奨励賞

    2012年3月   情報処理学会・全国大会  

    渡辺貴弘

     詳細を見る

  • テレコムシステム技術学生賞

    2012年3月   財団法人 電気通信普及財団  

    久保田 慎也

     詳細を見る

  • 社会人基礎力準大賞 社会人基礎力育成グランプリ2012決勝大会

    2012年2月   日本経済新聞社,経済産業省  

    上野 由美子, 渡辺 貴弘, 仁平優基, 森脇烈, 山地勇一郎, 上窪勇貴, 鳥飼勇希, 余座貴志, 青山裕司, 渡邊 実

     詳細を見る

  • First Place in ICFPT Design Competition 2011

    2011年12月   IEEE International Conference on Field-Programmable Technology  

    T. Watanabe, R. Moriwaki, Y. Yamaji, Y. Kamikubo, Y. Torigai, Y. Nihira, T. Yoza, Y. Ueno, Y. Aoyama, M. Watanabe

     詳細を見る

  • 優秀賞 社会人基礎力育成グランプリ・関東地区大会

    2011年11月   日本経済新聞社(経済産業省)  

    上野 由美子, 渡辺 貴弘, 仁平優基, 森脇烈, 山地勇一郎, 上窪勇貴, 鳥飼勇希, 余座貴志, 青山裕司, 渡邊 実

     詳細を見る

  • デザインガイヤポスター賞

    2011年11月   デザインガイア(電子情報通信学会・情報処理学会)  

    余座 貴志

     詳細を見る

  • 優勝 第1回相磯秀夫杯FPGAデザインコンテスト

    2011年11月   電子情報通信学会・リコンフィギャラブルシステム研究会  

    渡辺貴弘, 森脇烈, 山地勇一郎, 上窪勇貴, 鳥飼勇希, 仁平優基, 余座貴志, 上野由美子, 青山裕司, 渡邊実

     詳細を見る

  • 国際会議研究発表者賞

    2011年10月   電子情報通信学会・東海支部  

    森脇 烈

     詳細を見る

  • SLDM研究会優秀発表学生賞

    2011年8月   社団法人情報処理学会システムLSI設計技術研究会  

    森田 裕宣

     詳細を見る

  • 学生研究奨励賞

    2011年6月   社団法人電子情報通信学会東海支部  

    森脇 烈

     詳細を見る

  • 優秀卒業研究発表賞

    2011年3月   社団法人 電子情報通信学会東海支部  

    渡邊 貴弘

     詳細を見る

  • 電気学会東海支部長賞

    2011年3月   社団法人 電気学会東海支部  

    渡邊 貴弘

     詳細を見る

  • テレコムシステム技術学生賞

    2011年3月   財団法人 電気通信普及財団  

    間渕 隆之

     詳細を見る

  • 奨励賞

    2011年1月   計測自動制御学会 中部支部  

    間渕 隆之

     詳細を見る

  • 連合大会奨励賞

    2011年1月   電気関係学会東海支部連合大会  

    間渕 隆之

     詳細を見る

  • 優秀学生顕彰 優秀賞

    2010年12月   日本学生支援機構  

    渡邊 貴弘

     詳細を見る

  • 優秀賞

    2010年12月   第8回 情報学ワークショップ(WiNF 2010)   ホログラム閾値可変によるMEMSダイナミック光再構成型ゲートアレイの動作効率改善法

    森田 裕宣, 渡邊実

     詳細を見る

  • SLDM研究会優秀発表学生賞

    2010年9月   社団法人情報処理学会システムLSI設計技術研究会  

    久保田 慎也

     詳細を見る

  • Best Paper Award

    2010年6月   NASA/ESA Conference on Adaptive Hardware and Systems   Recovery method for a turn-off failure mode of a laser array on an ORGA

    D. Seto, M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2010年6月   社団法人電子情報通信学会東海支部  

    森田 裕宣

     詳細を見る

  • 学生論文奨励賞

    2010年5月   情報処理学会東海支部  

    瀬戸 大作

     詳細を見る

  • IEEE学生奨励賞

    2010年1月   電気関係学会東海支部連合大会  

    中島 真央

     詳細を見る

  • 連合大会奨励賞

    2010年1月   電気関係学会東海支部連合大会  

    瀬戸 大作

     詳細を見る

  • 奨励賞

    2010年1月   計測自動制御学会 中部支部  

    中島 真央

     詳細を見る

  • デザインガイアポスタ賞

    2009年12月   デザインガイア  

    瀬戸 大作

     詳細を見る

  • Best Poster

    2009年11月   IEEE International Symposium on Micro-NanoMechatronics and Human Science   Fault tolerance analysis of MEMS holographic memory for DORGAs

    D. Seto, M. Watanabe

     詳細を見る

  • 学生研究奨励賞

    2009年6月   社団法人電子情報通信学会東海支部  

    中島 真央

     詳細を見る

  • IEEE Nagoya Section Excellent Student Award

    2009年3月   IEEE Nagoya Section  

    S.Kato

     詳細を見る

  • 最優秀卒業研究発表賞

    2009年3月   社団法人電子情報通信学会東海支部  

    加藤 進一

     詳細を見る

  • Best System Integration Award

    2008年12月   IEEE International Symposium on System Integration   Optically Reconfigurable Gate Array

    M. Watanabe, D. Seto, S. Kubota

     詳細を見る

  • 第133回SLDM研究会優秀発表学生賞

    2008年8月   社団法人情報処理学会システムLSI設計技術研究会  

    瀬戸 大作

     詳細を見る

  • 学生研究奨励賞

    2008年6月   社団法人電子情報通信学会東海支部  

    瀬戸 大作

     詳細を見る

  • IEEE Nagoya Section Excellent Student Award

    2008年3月   IEEE Nagoya Section  

    D. Seto

     詳細を見る

  • 計測自動制御学会九州支部奨励賞

    2006年   計測自動制御学会   ダイナミック光再構成型ゲートアレイ

    深川, 渡邊,小林

     詳細を見る

  • 2005ベストセッション講演賞

    2005年12月   システムインテグレーション,計測自動制御学会   動的再構成によるシステムの実現

    渡邊 実, 小林 史典

     詳細を見る

  • 計測自動制御学会九州支部奨励賞

    2005年   第24回計測自動制御学会九州支部学術講演会   位相補間によるPLLの特性改善

    井上, 小林,渡邊

     詳細を見る

  • 計測自動制御学会九州支部奨励賞

    2005年   計測自動制御学会九州支部学術講演会   0.18umプロセスによる差分光再構成型ゲートアレイVLSI

    志岐, 渡邊,小林

     詳細を見る

  • Finalist Commendation, The Takeda Techno-Entrepreneurship Award

    2001年12月   Takeda Foundation   Development of an optically reconfigurable gate array and implementation of a reconfigurable processor

    M. Watanabe, F. Kobayashi, J. Ohtsubo, T. Otsuji

     詳細を見る

▼全件表示

共同研究・競争的資金等の研究

  • 高速動的再構成可能デバイス向けハードウェア設計システムの開発

    研究課題/領域番号:23K11032  2023年04月 - 2026年03月

    日本学術振興会  科学研究費助成事業  基盤研究(C)

    渡邊 誠也, 渡邊 実

      詳細を見る

    配分額:4680000円 ( 直接経費:3600000円 、 間接経費:1080000円 )

    researchmap

  • 耐放射線プロセッサを用いた組み込みシステムの開発

    2022年11月 - 2025年03月

    日本原子力研究開発機構  英知を結集した原子力科学技術・人材育成推進事業 国際協力型廃炉研究プログラム(日英原子力共同研究)6000万円(予定) 

      詳細を見る

    担当区分:研究代表者 

    researchmap

  • 光電子ウエハースケールVLSIと光ブローバーの研究

    研究課題/領域番号:22K18415  2022年06月 - 2025年03月

    日本学術振興会  科学研究費助成事業 挑戦的研究(開拓)  挑戦的研究(開拓)

    渡邊 実

      詳細を見る

    配分額:25870000円 ( 直接経費:19900000円 、 間接経費:5970000円 )

    researchmap

  • VLSIの高エネルギー中性子への耐用評価

    2022年04月 - 2023年03月

    核融合科学研究所  一般共同研究 

      詳細を見る

    担当区分:研究代表者 

    researchmap

  • 1GradTID耐放射線光電子デバイスへのマルチコンテキストスクラビング実装

    研究課題/領域番号:21H03407  2021年04月 - 2024年03月

    日本学術振興会  科学研究費助成事業 基盤研究(B)  基盤研究(B)

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:17030000円 ( 直接経費:13100000円 、 間接経費:3930000円 )

    光再構成を活用してゲートアレイの故障個所を特定する機能の研究を実施した。故障個所を特定する機能は最後まで壊れることが許されないので冗長化実装を研究した。冗長化については3重化実装と、5重回路実装について検討をした。多数決を行うセルは3重化実装も、5重化実装も両セルともカスタム設計し、最適化実装を行った。そして、これらのセルを利用して故障個所を特定する機能を実装した。3重回路実装に比べて、5重回路実装ではより強い耐性を実現することができるが、5重回路実装の専有面積が大きくなりすぎることから、最終的には故障個所を特定する機能に対しては3重回路実装を適用することにした。そして、故障個所を特定する機能を実装した耐放射線光再構成型ゲートアレイVLSIの設計を完了した。また、マルチコンテキストスクラビング向けの光学系テストベンチの設計と試作を完了させた。ホログラムメモリの計算に必要となるソフトウエアの設計を終え、ホログラムメモリの試作も実施し、マルチコンテキストスクラビング向けのホログラムメモリの製作が正しく行えることまで確認を終えた。今年度のマルチコンテキストスクラビングの実装評価は設計した光再構成型ゲートアレイをベースにシミュレーションにより実施した。恒久故障を疑似的にゲートアレイ上に起こし、それでも順序回路を含むマルチコンテキストスクラビングが正常に動作することを確認した。次年度以降は光再構成型ゲートアレイVLSIを試作し、実試験にて評価を進めていく予定である。

    researchmap

  • 核融合炉プラズマ診断用VLSIの高エネルギー中性子への耐用評価

    研究課題/領域番号:NIFS21KLPA002  2021年04月 - 2022年03月

    核融合科学研究所  一般共同研究 

    渡邊 実

      詳細を見る

    担当区分:研究代表者 

    配分額:130000円 ( 直接経費:130000円 )

    researchmap

  • 再臨界前の中性子線増に即応可能な耐放射線FPGAシステムの開発 国際共著

    2019年11月 - 2021年03月

    日本原子力研究開発機構  英知を結集した原子力科学技術・人材育成推進事業 国際協力型廃炉研究プログラム(日英原子力共同研究) (2000万円/2019年度、2000万円/2020年度、2000万円/2021年度) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:60000000円 ( 直接経費:46153846円 、 間接経費:13846153円 )

    researchmap

  • 耐放射線動的再構成型プロセッサの研究開発

    2019年05月 - 2021年03月

    中部電力 原子力安全技術研究所  原子力に係る公募研究 (1100万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:1100000円 ( 直接経費:10000000円 、 間接経費:1000000円 )

    researchmap

  • 耐放射線光電子融合デバイスへのマルチコンテキストスクラビングの実装

    2019年04月 - 2021年03月

    総務省  戦略的情報通信研究開発推進制度(SCOPE) (303万2130円(間接経費69万9722円含む)/2019年度,1300万円/2020年度、1300万円/2021年度) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:3032130円 ( 直接経費:2332408円 、 間接経費:699722円 )

    researchmap

  • 核融合炉向け耐放射線組み込みシステムの研究

    2019年04月 - 2020年03月

    自然科学研究機構 核融合科学研究所  一般共同研究 (18万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:180000円 ( 直接経費:180000円 )

    researchmap

  • 廃炉作業ロボット向け耐放射線組み込みシステムの開発

    2016年04月 - 2019年03月

    文部科学省  英知を結集した原子力科学技術・人材育成推進事業 廃炉加速化研究プログラム(国内研究)(1955万8777円/2016年度、1909万5651円/2017年度、1969万4695円/2018年度) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:58349123円 ( 直接経費:44883940円 、 間接経費:13465183円 )

    researchmap

  • 宇宙機器向けシールドレス耐放射線プログラマブルデバイスの研究開発

    2015年04月 - 2018年03月

    日本学術振興会  基盤研究(B) (1807万円(間接経費417万円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:18070000円 ( 直接経費:13900000円 、 間接経費:4170000円 )

    researchmap

  • 耐放射線FPGA(Field Programmable Gate Array)の研究開発

    2014年05月 - 2016年03月

    中部電力 原子力安全技術研究所  原子力に係る公募研究 (1000万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:10000000円 ( 直接経費:10000000円 )

    researchmap

  • 動的再構成型ビジョンVLSIの研究開発

    2014年05月 - 2015年03月

    静岡大学電子工学研究所  共同研究プロジェクト (28万5000円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • MEMS、ホログラムメモリを用いた光電子プロセッサ技術の研究

    2013年11月 - 2014年11月

    浜松科学技術研究振興会  科学技術試験研究助成 (40万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 動的再構成型ビジョンチップの研究開発

    2013年07月 - 2014年03月

    静岡大学電子工学研究所  共同研究プロジェクト (67万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 回折限界を打破する偏光ホログラムメモリシステムの研究開発

    2013年04月 - 2016年03月

    日本学術振興会  挑戦的萌芽研究 (390万円(間接経費90万円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:3900000円 ( 直接経費:3000000円 、 間接経費:900000円 )

    researchmap

  • 動的再構成型ビジョンVLSI

    2012年05月 - 2013年03月

    静岡大学電子工学研究所  共同研究プロジェクト (85万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 3次元光電子機械プログラマブルデバイスの開発と動的回路実装技術の確立

    2012年04月 - 2015年03月

    日本学術振興会  基盤研究(B) (1794万円(間接経費414万円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    配分額:17940000円 ( 直接経費:13800000円 、 間接経費:4140000円 )

    researchmap

  • ディペンダブル光FPGAの研究開発

    2012年04月 - 2013年03月

    総務省  戦略的情報通信研究開発推進制度(SCOPE) 【ICTイノベーション創出型研究開発】 (1863万6800円(間接経費430万800円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 高放射線環境下向け光電子組み込みシステムの開発

    2012年04月 - 2013年03月

    大川情報通信基金  (100万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 動的再構成型ビジョンVLSI

    2011年05月 - 2012年03月

    静岡大学電子工学研究所  共同研究プロジェクト (100万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • MEMS・ホログラムを用いたリアルタイム視覚情報処理システム

    2011年04月 - 2013年03月

    日本学術振興会  挑戦的萌芽研究 (377万円(間接経費87万円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • ホログラムストレージデバイス向けMEMS・レーザアレイ・アドレッシング技術

    2011年04月 - 2012年03月

    JST  大学特許価値向上支援 (350万円(間接経費73万7000円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 動的再構成型ビジョンチップの研究開発

    2010年05月 - 2011年03月

    静岡大学電子工学研究所  共同研究プロジェクト (75万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • プログラマブル・光再構成型ゲートアレイとライターの研究開発

    2008年04月 - 2011年03月

    日本学術振興会  基盤研究(C) ( 455万円(間接経費105万円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • フーリエ補間による省回路サンプルレート変換器

    2008年04月 - 2011年03月

    日本学術振興会  基盤研究(C) (総額:377万円(間接経費87万円含む)、分担額:65万円(間接経費15万円含む)) 

    小林 史典

      詳細を見る

    資金種別:競争的資金

    researchmap

  • MEMS・レーザーアレイによる高速動的光再構成型ゲートアレイの研究開発

    2008年04月 - 2011年03月

    文部科学省  新学術領域研究(研究課題提案型) (3380万円(間接経費780万円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 高速動的光再構成型プロセッサの研究開発

    2008年04月 - 2011年03月

    総務省  戦略的情報通信研究開発推進制度(SCOPE) 若手ICT研究者育成型研究開発(B) (1811万4200円(間接経費418万200円含む)) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 自律再構成可能な高信頼性ホログラムVLSIの研究開発

    2008年04月 - 2009年03月

    熊谷科学技術振興財団  (80万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 3次元光電子融合デバイスのノンアライメント実装技術の研究開発

    2007年10月 - 2008年09月

    電子回路基板技術振興財団  (100万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 光VLSIにおける配線を利用した光シールド技術の開発

    2006年04月 - 2008年03月

    日本学術振興会  若手研究(B) (350万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 部分再構成可能な高密度光再構成型ゲートアレイの開発

    2004年04月 - 2007年03月

    JST  育成研究 (8789万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • ダイナミック差分再構成型ゲートアレイ

    2004年04月 - 2006年03月

    日本学術振興会  若手研究(B) (370万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 組み込みシステム用再構成可能システム

    2002年04月 - 2007年03月

    文部科学省  知的クラスタ創成事業 (1億193万円(分担研究への配分額:2781万円)) 

    笹尾 勤

      詳細を見る

    資金種別:競争的資金

    researchmap

  • 光再構成型ゲートアレイと再構成型プロセッサの実装

    2002年04月 - 2003年03月

    福岡県産業・科学技術振興財団  地域研究開発促進拠点支援事業 (250万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

  • 光再構成型ゲートアレイ

    2001年04月 - 2002年03月

    福岡県産業・科学技術振興財団  テーマ探索・シーズ発掘事業研究 (45万円) 

    渡邊 実

      詳細を見る

    担当区分:研究代表者  資金種別:競争的資金

    researchmap

▼全件表示

 

担当授業科目

  • コンパイラ (2023年度) 第3学期  - 月7~8,金1~2

  • コンパイラ (2023年度) 第3学期  - 月7~8,金1~2

  • コンパイラ (2023年度) 第3学期  - 月7~8,金1~2

  • コンピュータアーキテクチャI (2023年度) 第3学期  - 月1~2,火7~8

  • コンピュータアーキテクチャII (2023年度) 第4学期  - 水3~4,金5~6

  • コンピュータアーキテクチャⅠ (2023年度) 第3学期  - 月1~2,火7~8

  • コンピュータアーキテクチャⅡ (2023年度) 第4学期  - 水3~4,金5~6

  • コンピュータハードウェア (2023年度) 第2学期  - 月1~2,木5~6

  • コンピュータハードウェア (2023年度) 第2学期  - 月1~2,木5~6

  • プロセッサ工学特論 (2023年度) 前期  - その他

  • プロセッサ工学特論 (2023年度) 前期  - その他

  • 技術英語 (2023年度) 後期  - その他

  • 技術英語 (情報系) (2023年度) 後期  - その他

  • 特別研究 (2023年度) その他  - その他

  • 表現技法1(情報系) (2023年度) 前期  - その他

  • 表現技法2(情報系) (2023年度) 後期  - その他

  • 表現技法1 (2023年度) 前期  - その他

  • 表現技法2 (2023年度) 後期  - その他

  • 計算機ハードウェア特論 (2023年度) 後期  - その他

  • 計算機ハードウェア特論 (2023年度) 後期  - その他

  • 計算機工学演習 (2023年度) 通年  - その他

  • 計算機工学特別演習 (2023年度) 通年  - その他

  • 電子情報システム工学特別研究 (2023年度) 通年  - その他

  • コンパイラ (2022年度) 第3学期  - 月5~6,金1~2

  • コンパイラ (2022年度) 第3学期  - 月5~6,金1~2

  • コンパイラ (2022年度) 第3学期  - 月5~6,金1~2

  • コンピュータアーキテクチャI (2022年度) 第3学期  - 火7~8,木5~6

  • コンピュータアーキテクチャII (2022年度) 第4学期  - 月3~4,水3~4

  • コンピュータアーキテクチャII (2022年度) 第4学期  - 月3~4,水3~4

  • コンピュータアーキテクチャⅠ (2022年度) 第3学期  - 火7~8,木5~6

  • コンピュータアーキテクチャⅡ (2022年度) 第4学期  - 月3~4,水3~4

  • コンピュータハードウェア (2022年度) 第2学期  - 月1~2,木5~6

  • コンピュータハードウェア (2022年度) 第2学期  - 月1~2,木5~6

  • プロセッサ工学特論 (2022年度) 前期  - 火5~6,木3~4

  • 技術英語 (2022年度) 後期  - その他

  • 表現技法1 (2022年度) 前期  - その他

  • 表現技法2 (2022年度) 後期  - その他

  • 計算機ハードウェア特論 (2022年度) 後期  - その他

  • 計算機工学演習 (2022年度) 通年  - その他

  • 電子情報システム工学特別研究 (2022年度) 通年  - その他

  • コンパイラ (2021年度) 第3学期  - 月5,月6,金1,金2

  • コンパイラ (2021年度) 第3学期  - 月5,月6,金1,金2

  • コンパイラ (2021年度) 第3学期  - 月5,月6,金1,金2

  • コンピュータアーキテクチャI (2021年度) 第3学期  - 月3,月4,木3,木4

  • コンピュータアーキテクチャII (2021年度) 第4学期  - 月3,月4,水3,水4

  • コンピュータアーキテクチャII (2021年度) 第4学期  - 月3,月4,水3,水4

  • コンピュータアーキテクチャⅡ (2021年度) 第4学期  - 月3,月4,水3,水4

  • コンピュータアーキテクチャⅡ (2021年度) 第4学期  - 月3,月4,水3,水4

  • コンピュータアーキテクチャI (2021年度) 第3学期  - 月3,月4,木3,木4

  • コンピュータハードウェア (2021年度) 第2学期  - 月5,月6,木5,木6

  • プロセッサ工学特論 (2021年度) 前期  - 火5~6,木3~4

  • 技術英語 (2021年度) 後期  - その他

  • 表現技法1 (2021年度) 前期  - その他

  • 表現技法2 (2021年度) 後期  - その他

  • 計算機ハードウェア特論 (2021年度) 後期  - その他

  • 計算機工学演習 (2021年度) 通年  - その他

  • 電子情報システム工学特別研究 (2021年度) 通年  - その他

▼全件表示