2024/02/01 更新

写真a

ワタナベ ノブヤ
渡邊 誠也
WATANABE Nobuya
所属
環境生命自然科学学域 助教
職名
助教
外部リンク

学位

  • 博士(工学) ( 1999年9月   豊橋技術科学大学 )

研究キーワード

  • プログラミング言語処理系

  • 並列処理

  • ハードウェア設計システム

  • コンピュータアーキテクチャ

  • リコンフィギャラブルシステム

  • FPGA

  • ハードウェア設計自動化

  • 言語処理系

研究分野

  • 情報通信 / 計算機システム  / リコンフィギャラブルシステム

学歴

  • 豊橋技術科学大学    

    1995年4月 - 1998年5月

      詳細を見る

  • 豊橋技術科学大学    

    1993年4月 - 1995年3月

      詳細を見る

  • 豊橋技術科学大学   Faculty of Engineering   Department of Information Computer Sciences

    1991年4月 - 1993年3月

      詳細を見る

経歴

  • 岡山大学   学術研究院環境生命自然科学学域(大学院環境生命自然科学研究科,工学部)   助教

    2023年4月 - 現在

      詳細を見る

    国名:日本国

    researchmap

  • 岡山大学   学術研究院自然科学学域(大学院自然科学研究科,工学部)   助教

    2021年4月 - 2023年3月

      詳細を見る

    国名:日本国

    researchmap

  • 岡山大学   大学院自然科学研究科 産業創成工学専攻   助教

    2007年4月 - 2021年3月

      詳細を見る

  • 岡山大学   大学院自然科学研究科 産業創成工学専攻   助手

    2005年4月 - 2007年3月

      詳細を見る

  • 岡山大学   工学部   助手

    1998年6月 - 2005年3月

      詳細を見る

所属学協会

委員歴

  • The International Conference on Field-Programmable Technology (FPT'22) FPGA Design Competition Local Support Member  

    2022年12月   

      詳細を見る

    団体区分:その他

    researchmap

  • 第11回相磯秀夫杯FPGAデザインコンテスト(2022)実行委員会委員  

    2022年9月   

      詳細を見る

    団体区分:その他

    researchmap

  • The International Conference on Field-Programmable Technology (FPT'21) FPGA Design Competition   Local Support Member  

    2021年12月   

      詳細を見る

    団体区分:学協会

    researchmap

  • 第10回相磯秀夫杯FPGAデザインコンテスト(2021)   委員  

    2021年10月   

      詳細を見る

    団体区分:学協会

    researchmap

  • The 21st Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2018)   Technical Program Committee, Logic Level Design Subcommittee  

    2017年 - 2018年   

      詳細を見る

    団体区分:その他

    researchmap

  • 特定非営利活動法人パルテノン研究会   運営委員  

    2014年 - 現在   

      詳細を見る

    団体区分:学協会

    researchmap

  • 電子情報通信学会リコンフィギャラブルシステム研究会(RECONF)   研究専門委員  

    2013年5月 - 2019年6月   

      詳細を見る

    団体区分:学協会

    researchmap

  • 電子情報通信学会リコンフィギャラブルシステム研究会(RECONF)   幹事  

    2011年5月 - 2013年5月   

      詳細を見る

    団体区分:学協会

    researchmap

  • 電子情報通信学会リコンフィギャラブルシステム研究会(RECONF)   幹事補佐  

    2009年5月 - 2011年5月   

      詳細を見る

    団体区分:学協会

    researchmap

▼全件表示

 

論文

  • Wafer Scale VLSI Realization Using Programmable Architecture 査読

    Atsushi Takata, Minoru Watanabe, Nobuya Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)   205 - 206   2024年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Application Design System for High-Speed Dynamically Reconfigurable Gate Arrays 査読

    Nobuya Watanabe, Ryoya Ishitani, Minoru Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)   1480 - 1485   2024年1月

     詳細を見る

    担当区分:筆頭著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Remote Monitoring System for Optically Reconfigurable Gate Arrays Under Radiation Environments 査読

    Utsuki Sekioka, Minoru Watanabe, Nobuya Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)   907 - 908   2024年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Parallel Configuration Experiment for a Radiation-Hardened Optically Reconfigurable Gate Array with a Holographic Polymer-Dispersed Liquid Crystal Memory 査読

    Sae Goto, Minoru Watanabe, Akifumi Ogiwara, Nobuya Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)   905 - 906   2024年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optical multi-context scrubbing operation on a redundant system 査読

    Kakeru Ando, Minoru Watanabe, Nobuya Watanabe

    Optics Express   31 ( 23 )   38529 - 35539   2023年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(学術雑誌)  

    researchmap

  • An optically reconfigurable gate array VLSI driven by an unstabilized power supply unit 査読

    Masashi Tsujino, Minoru Watanabe, Nobuya Watanabe

    2023 IEEE 36th International System-on-Chip Conference (SOCC)   2023年9月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • A mono instruction set computer architecture on an optically reconfigurable gate array VLSI

    Soma Imai, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Remote monitoring system used in a severe radiation environment

    Utsuki Sekioka, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Photodiode current range measurement result of an optically reconfigurable gate array VLSI

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Realization of a wafer-scale VLSI by using optically reconfigurable gate array architecture

    Atsushi Takata, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Sequential circuit implementation onto optically reconfigurable gate array VLSI using a ring oscillator

    Shintaro Takatsuki, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Evaluation of low-voltage operations of an optically reconfigurable gate array VLSI

    Yuki Shimamura, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Design example of a triple modular redundancy ALU, a register file, and a program counter for a processor

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • An optically reconfigurable gate array driven by an unstabilized power supply unit

    Masashi Tsujino, Minoru Watanabe, Nobuya Watanabe

    The seventh International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Plant   2023年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

    researchmap

  • Multi-context-scrubbing operation for a 1-bit counter circuit 査読

    Kakeru Ando, Minoru Watanabe, Nobuya Watanabe

    2023 21st IEEE Interregional NEWCAS Conference (NEWCAS)   2023年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Design example of a triple modular redundancy ALU and register-file for RISC-V processors

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    RISC-V Days Tokyo 2023 Summer conference   2023年6月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Multi-context optically reconfigurable gate array system used for fast-neutron experiments 査読

    Sae Goto, Kakeru Ando, Kaho Yamada, Minoru Watanabe, Nobuya Watanabe, Makoto Kobayashi, Mitsutaka Isobe, Kunihiro Ogawa, Shingo Tamaki, Isao Murata, Sachie Kusaka

    16TH IEEE DALLAS CIRCUITS AND SYSTEMS CONFERENCE   2023年4月

     詳細を見る

    記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Total-Ionizing-Dose Tolerance Analysis of a Radiation-Hardened Image Sensor 査読

    Daisuke Bamba, Minoru Watanabe, Nobuya Watanabe

    2023 IEEE International Conference on Consumer Electronics (ICCE)   2023年1月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Optically reconfigurable gate array VLSI that can support a perfect parallel configuration 査読

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    18th IEEE Asia Pacific Conference on Circuits and Systems   2022年11月

     詳細を見る

    担当区分:最終著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • Total Dose Tolerance Analysis of an Optically Reconfigurable Gate Array VLSI 査読

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    IEEE International Conference on Electronics Circuits and Systems   2022年10月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    The sixth International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2022年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

    researchmap

  • Optically reconfigurable gate array VLSI without any common signal

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The sixth International Forum on the Decommissioning of the Fulushima Daiichi Nuclear Power Plant   2022年8月

     詳細を見る

    担当区分:最終著者   記述言語:英語  

    researchmap

  • Convolutional neural network implementation using Vitis AI 査読

    Akihiko Ushiroyama, Minoru Watanabe, Nobuya Watanabe, Akira Nagoya

    Proc. of the IEEE 12th Annual Computing and Communication Workshop and Conference (CCWC)   365 - 371   2022年1月

     詳細を見る

    担当区分:責任著者   記述言語:英語   掲載種別:研究論文(国際会議プロシーディングス)  

    researchmap

  • SMTプロセッサにおける命令発行時間に基づくフェッチポリシ 査読

    長江忠直, 植原陽平, 渡邊誠也

    先進的計算基盤システムシンポジウム SACSIS2006 論文集   395 - 402   2006年

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(研究会,シンポジウム資料等)  

    researchmap

  • ヘテロジニアスな並列計算環境における最適な負荷割当 査読

    渡邊誠也

    電子情報通信学会論文誌   J88-D-I ( 11 )   1688 - 1695   2005年11月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    性能の異なる計算機で構成されるヘテロな並列計算環境において並列プログラムを動作させる際, 各計算機への処理の割当がプログラムの実行速度に大きく影響する. 本論文では, ヘテロな環境で並列プログラムを実行させる際の最適な負荷割当を理論的に導く. ベースは, アムダールの法則として知られているモデルをヘテロな環境に拡張した非常に単純なモデルである. 並列プログラムに並列可能な部分と逐次処理が必要な部分が含まれており, その比率により最適な負荷割当が異なることを示す. また, 最適な処理分配を行った際の速度向上率と並列処理の効率を示す. 更に, 並列ベンチマークプログラムをヘテロな並列環境で動作させた際の実験結果を示し, 本論文の割当方法により単純な性能比での負荷割当に比べ性能向上が期待できることを示す.

    CiNii Article

    CiNii Books

    researchmap

  • 2線2相式非同期回路用FPGAアーキテクチャ 査読

    齋藤誠司, 渡邊誠也, 正木亮

    電子情報通信学会論文誌   J86-D-I ( 2 )   108 - 116   2003年2月

     詳細を見る

    記述言語:日本語   掲載種別:研究論文(学術雑誌)   出版者・発行元:一般社団法人電子情報通信学会  

    本論文では動的再構成可能プロセッサを実装するための2線2相式非同期回路用FPGAアーキテテクチャを提案する.従来の非同期回路用FPGAは束データ方式に基づいたものが多く,2線2相方式に基づいたFPGAはあまり研究されていない.提案するFPGAは基本的な2線2相式非同期回路の実装を可能にしたものであり,タイミング制約がユーザやツールから隠蔽できるメリットがある.2線2双方式は使用する素子数が多いことが一つの問題点であるが,本研究ではLUTを2出力にする,論理ブロック内に配線を追加する,配線を2線1組にする等の2線2相方式の特質に合った構造を採用することにより,使用するLUTやスイッチの数を低減することができた.

    CiNii Article

    CiNii Books

    researchmap

  • データ並列言語における通信最適化のためのコード移動手法 査読

    渡邊誠也, 湯淺太一

    情報処理学会論文誌   40 ( 3 )   1257 - 1266   1999年3月

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(学術雑誌)  

    researchmap

  • データ並列言語における擬似ベクトル処理のための実行方式 査読

    渡邊誠也, 横山亮, 湯淺太一

    情報処理学会論文誌:プログラミング   39 ( SIG1(PRO1) )   34 - 42   1998年

     詳細を見る

    担当区分:筆頭著者   記述言語:日本語   掲載種別:研究論文(その他学術会議資料等)   出版者・発行元:一般社団法人情報処理学会  

    データ並列言語で用いられるデータの数は 通常 利用可能なプロセッサ数を大きく上回るため 個々のプロセッサに対して複数のデータが割り当てられ 繰り返しによりSIMD実行が実現される.この繰り返し実行は プログラムのコンテキスト制御を行うために 単純な繰り返しではなく これまでにいくつかの実行方式が提案されている.一方 スカラプロセッサにおいて ループ長の長い繰り返し実行の際に生じるキャッシュミスによる性能低下を防ぐ機構に 疑似ベクトル処理機構がある.本論文では データ並列言語の実行方式について考察し 疑似ベクトル処理に適する実行方式を提案する.また 疑似ベクトル化の成功率を高めるループ分割方法を提案する.提案する手法をデータ並列C言語NCXの処理系に実装し 並列計算機SR2201を用いて性能評価を行った.その結果 本手法によりデータ並列言語で疑似ベクトル処理が利用可能となり プログラムの実行速度を向上できることを確認した.Since the number of data used in data-parallel programs is generally larger than the number of available processors, multiple data are allocated to each processor and the SIMD execution is realized by iteration. This iterative execution is not obvious because it requires context control of the program, and some execution control methods have been proposed. On the other hand, pseudo vector processing is a mechanism which prevents performance degradation caused by cache fault during execution of a long iteration on scalar processors. In this paper, we analyze execution methods of data-parallel programs and propose a method that is appropriate for pseudo vector processing. Furthermore, we present a loop partition technique which enables to promote pseudo vectorization. We implemented the proposed techniques on our language system for the data-parallel C language NCX and evaluated the performance by using a parallel computer Hitachi SR2201. The result of evaluation shows that the proposed techniques make it possible to use pseudo vector processing on data-parallel languages and to increase the performance of programs.

    CiNii Article

    CiNii Books

    researchmap

    その他リンク: http://id.nii.ac.jp/1001/00017034/

▼全件表示

MISC

  • 液晶ホログラフィックメモリを持つ耐放射線光再構成型ゲートアレイへの並列構成試験

    後藤彩絵, 渡邊 実, 荻原昭文, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   123 ( 261 )   209 - 214   2023年11月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成アーキテクチャを用いたウエハースケールVLSIの実現性

    高田睦士, 渡邊 実, 渡邊 誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   123 ( 261 )   205 - 208   2023年11月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • MISCプロセッサの光再構成型ゲートアレイVLSIへの実装と最大動作周波数評価

    今井颯真, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   123 ( 261 )   227 - 230   2023年11月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成型ゲートアレイVLSIのγ線による放射線劣化特性の評価

    山田果歩, 渡邊 実, 渡邊誠也

    第67回宇宙科学技術連合講演会講演集 JSASS-2023-40740   2023年10月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 水晶発信器を用いない光再構成型ゲートアレイへの順序回路の実装

    髙月 信太朗, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   123 ( 191 )   6 - 10   2023年9月

     詳細を見る

    担当区分:最終著者   記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイの放射線試験向けモニタリングシステム

    関岡空己, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   123 ( 191 )   1 - 5   2023年9月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成型ゲートアレイの低電圧動作評価

    島村侑希, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   123 ( 71 )   41 - 45   2023年6月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 脈流電源を用いた光再構成型ゲートアレイ

    辻野 将, 渡邊 実, 渡邊誠也

    情報処理学会第85回全国大会講演論文集   1-57 - 1-58   2023年3月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • マルチコンテキストスクラビングによる順序回路実装

    安藤 駆, 渡邊 実, 渡邊誠也

    情報処理学会第85回全国大会講演論文集   1-47 - 1-48   2023年3月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 耐放射線イメージセンサのトータルドーズ耐性

    番場大輔, 渡邊 実, 渡邊誠也

    第66回宇宙科学技術連合講演会講演集(2F16)   2022年11月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 宇宙機器向けマルチコンテキストスクラビング

    安藤駆, 渡邊 実, 渡邊誠也

    第66回宇宙科学技術連合講演会講演集(2F14)   2022年11月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 完全並列構成が可能な光再構成型ゲートアレイVLSI

    後藤彩絵, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   122 ( 60 )   32 - 36   2022年6月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 光再構成型ゲートアレイVLSIの290 Mradまでのトータルドーズ耐性試験

    山田果歩, 岡﨑武志, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   122 ( 60 )   37 - 40   2022年6月

     詳細を見る

    担当区分:最終著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • FSLによる3値化CNNのFPGA実装

    尾崎洸人, 渡邊誠也, 名古屋 彰, 渡邊 実

    第47回パルテノン研究会資料集   1 - 8   2021年12月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • Vitis AI を用いたCNN実装

    後山晃彦, 渡邊誠也, 名古屋 彰, 渡邊 実

    電子情報通信学会リコンフィギャラブルシステム研究会技術研究報告   121 ( 175 )   13 - 18   2021年9月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • SIMD命令利用による多倍長精度演算ライブラリの高性能化の検討

    番場大輔, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会資料集   39 - 40   2020年12月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • CNNの実装によるFPGA利用環境Vitisの評価

    後山晃彦, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会資料集   35 - 38   2020年12月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 差分進化アルゴリズムのFPGAへの実装

    石田亘希, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会資料集   33 - 34   2020年12月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 簡潔な設計記述を可能とするHDL Spiralの提案とその処理系の実装

    大西創也, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会資料集   3 - 14   2020年12月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 自動走行ロボットにおけるROSノードのFPGA実装の検討

    安藤 駆, 小林佳樹, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会資料集   49 - 50   2020年12月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • 3値化CNNハードウェアのFPGA実装に関する検討

    尾崎洸人, 渡邊誠也, 名古屋 彰

    2020年度(第71回)電気・情報関連学会中国支部連合大会 講演論文集, R20-19-01-04   2020年10月

     詳細を見る

    担当区分:責任著者   記述言語:日本語   掲載種別:研究発表ペーパー・要旨(全国大会,その他学術会議)  

    researchmap

  • ZytleBotをベースとした自動走行ロボットの開発(チーム名: OU Nagoya-Lab)

    小林佳樹, 安藤 駆, 渡邊誠也, 名古屋 彰

    第9回相磯秀夫杯FPGAデザインコンテスト   2020年10月

     詳細を見る

    担当区分:責任著者   記述言語:日本語  

    researchmap

▼全件表示

講演・口頭発表等

  • Application Design System for High-Speed Dynamically Reconfigurable Gate Arrays

    Nobuya Watanabe, Ryoya Ishitani, Minoru Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)  2024年1月8日 

     詳細を見る

    開催年月日: 2024年1月6日 - 2024年1月8日

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  • Parallel Configuration Experiment for a Radiation-Hardened Optically Reconfigurable Gate Array with a Holographic Polymer-Dispersed Liquid Crystal Memory

    Sae Goto, Minoru Watanabe, Akifumi Ogiwara, Nobuya Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)  2024年1月7日 

     詳細を見る

    開催年月日: 2024年1月6日 - 2024年1月8日

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  • Remote Monitoring System for Optically Reconfigurable Gate Arrays Under Radiation Environments

    Utsuki Sekioka, Minoru Watanabe, Nobuya Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)  2024年1月7日 

     詳細を見る

    開催年月日: 2024年1月6日 - 2024年1月8日

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  • Wafer Scale VLSI Realization Using Programmable Architecture

    Atsushi Takata, Minoru Watanabe, Nobuya Watanabe

    IEEE 42nd International Conference on Consumer Electronics (ICCE 2024)  2024年1月6日 

     詳細を見る

    開催年月日: 2024年1月6日 - 2024年1月8日

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  • 光再構成アーキテクチャを用いたウエハースケールVLSIの実現性

    高田睦士, 渡邊実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会(デザインガイア2023ーVLSI設計の新しい大地ー)  2023年11月17日 

     詳細を見る

    開催年月日: 2023年11月15日 - 2023年11月17日

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • MISCプロセッサの光再構成型ゲートアレイVLSIへの実装と最大動作周波数評価

    今井颯真, 渡邊実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会(デザインガイア2023ーVLSI設計の新しい大地ー)  2023年11月17日 

     詳細を見る

    開催年月日: 2023年11月15日 - 2023年11月17日

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • 液晶ホログラフィックメモリを持つ耐放射線光再構成型ゲートアレイへの並列構成試験

    後藤彩絵, 渡邊実, 荻原昭文, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会(デザインガイア2023ーVLSI設計の新しい大地ー)  2023年11月17日 

     詳細を見る

    開催年月日: 2023年11月15日 - 2023年11月17日

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • 光再構成型ゲートアレイVLSIのγ線による放射線劣化特性の評価

    山田果歩, 渡邊 実, 渡邊誠也

    第67回宇宙科学技術連合講演会  2023年10月19日 

     詳細を見る

    開催年月日: 2023年10月17日 - 2023年10月20日

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Optically reconfigurable gate array VLSI that can support a perfect parallel configuration

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    2022 IEEE the 18th Asia Pacific Conference on Circuit and Systems (APCCAS 2022)  2022年11月13日 

     詳細を見る

    開催年月日: 2022年11月11日 - 2022年11月13日

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  • Optically reconfigurable gate array VLSI without any common signal

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The 6th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Station  2022年8月29日 

     詳細を見る

    開催年月日: 2022年8月28日 - 2022年8月29日

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    The 6th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Power Station  2022年8月29日 

     詳細を見る

    開催年月日: 2022年8月28日 - 2022年8月29日

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • 完全並列構成が可能な光再構成型ゲートアレイVLSI

    後藤彩絵, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会  2022年6月7日 

     詳細を見る

    開催年月日: 2022年6月7日 - 2022年6月8日

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • FSLによる3値化CNNのFPGA実装

    尾崎洸人, 渡邊誠也, 名古屋 彰, 渡邊 実

    第47回パルテノン研究会  2021年12月25日  特定非営利活動法人パルテノン研究会

     詳細を見る

    開催年月日: 2021年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:Zoom オンライン  

  • Vitis AI を用いたCNN実装

    後山晃彦, 渡邊誠也, 名古屋 彰, 渡邊 実

    電子情報通信学会リコンフィギャラブルシステム研究会  2021年9月10日  電子情報通信学会

     詳細を見る

    開催年月日: 2021年9月10日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:Zoom オンライン  

  • SIMD命令利用による多倍長精度演算ライブラリの高性能化の検討

    番場大輔, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会  特定非営利活動法人パルテノン研究会

     詳細を見る

    開催年月日: 2020年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:Zoomオンライン  

    researchmap

  • 簡潔な設計記述を可能とするHDL Spiralの提案とその処理系の実装

    大西創也, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会  特定非営利活動法人パルテノン研究会

     詳細を見る

    開催年月日: 2020年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:Zoomオンライン  

    researchmap

  • CNNの実装によるFPGA利用環境Vitisの評価

    後山晃彦, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会  特定非営利活動法人パルテノン研究会

     詳細を見る

    開催年月日: 2020年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:Zoomオンライン  

    researchmap

  • 自動走行ロボットにおけるROSノードのFPGA実装の検討

    安藤 駆, 小林佳樹, 渡邊誠也, 名古屋 彰

    第46回パルテノン研究会  特定非営利活動法人パルテノン研究会

     詳細を見る

    開催年月日: 2020年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:Zoomオンライン  

    researchmap

  • ZytleBotをベースとした自動走行ロボットの開発(チーム名: OU Nagoya-Lab)

    小林佳樹, 安藤 駆, 渡邊誠也, 名古屋 彰

    第9回相磯秀夫杯FPGAデザインコンテスト  電子情報通信学会 リコンフィギャラブルシステム研究専門委員会

     詳細を見る

    開催年月日: 2020年10月25日

    記述言語:日本語  

    開催地:静岡大学 浜松キャンパス  

    researchmap

  • 3値化CNNハードウェアのFPGA実装に関する検討

    尾崎洸人, 渡邊誠也, 名古屋 彰

    2020年度(第71回)電気・情報関連学会中国支部連合大会  電気・情報関連学会各中国支部

     詳細を見る

    開催年月日: 2020年10月24日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:Zoomオンライン  

    researchmap

  • ハードウェア記述言語FSLへのクラス記述の実装

    大西創也, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • 異種プロセッサ環境における並列プログラミング手法の検討

    林 卓哉, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • RISC-Vへのカスタム命令の実装と評価

    今井信志, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • プログラマブルSoC向けの高位合成による量子化CNNの実装と評価

    後山晃彦, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • FSLによる2値化CNNのFPGA実装の高性能化

    赤木勇統, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • Pythonからの高位合成によるCNNハードウェアの設計

    片山智宙, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • 2値化CNNのハードウェア化における設計手法の比較

    安井寛貴, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • 粒子群最適化法のマルチコアCPUによる高速化の検討

    尾崎洸人, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • ハードウェア記述言語FSLにおける手続き記述手法の拡張

    中村一歩, 渡邊誠也, 名古屋 彰

    第45回パルテノン研究会 

     詳細を見る

    開催年月日: 2019年12月25日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:東京都立産業技術研究センター本部  

    researchmap

  • RISC-VプロセッサのFSLを用いた実装と評価

    大西創也, 渡邊誠也, 名古屋 彰

    情報処理学会/電子情報通信学会 第18回情報科学技術フォーラム(FIT 2019)  2019年9月4日  情報処理学会/電子情報通信学会

     詳細を見る

    開催年月日: 2019年9月4日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:岡山大学  

    researchmap

  • RISC-Vへのカスタム命令の実装と評価

    今井信志, 渡邊誠也, 名古屋 彰

    情報処理学会/電子情報通信学会 第18回情報科学技術フォーラム(FIT 2019)  2019年9月3日  情報処理学会/電子情報通信学会

     詳細を見る

    開催年月日: 2019年9月3日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:岡山大学  

    researchmap

  • 異種プロセッサ環境における並列プログラミング手法の提案

    林 卓哉, 渡邊誠也, 名古屋 彰

    情報処理学会/電子情報通信学会 第18回情報科学技術フォーラム(FIT 2019)  2019年9月3日  情報処理学会/電子情報通信学会

     詳細を見る

    開催年月日: 2019年9月3日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:岡山大学  

    researchmap

  • GPGPU向けプログラミング環境の比較評価

    小森遼太, 渡邊誠也, 名古屋 彰

    情報処理学会/電子情報通信学会 第18回情報科学技術フォーラム(FIT 2019)  2019年9月3日  情報処理学会/電子情報通信学会

     詳細を見る

    開催年月日: 2019年9月3日

    記述言語:日本語   会議種別:口頭発表(一般)  

    開催地:岡山大学  

    researchmap

  • RISC-Vへのカスタム命令実装手法の検討

    今井信志, 渡邊誠也, 須山敬之, 名古屋 彰

    電子情報通信学会 2019年総合大会 情報・システムソサイエティ特別企画 学生ポスターセッション  2019年3月19日  電子情報通信学会

     詳細を見る

    開催年月日: 2019年3月19日

    記述言語:日本語   会議種別:ポスター発表  

    開催地:早稲田大学 西早稲田キャンパス  

    researchmap

  • ハードウェア記述言語FSLにおける手続き記述手法の拡張

    中村一歩, 渡邊誠也, 名古屋 彰

    電子情報通信学会 2019年総合大会 情報・システムソサイエティ特別企画 学生ポスターセッション  電子情報通信学会

     詳細を見る

    開催年月日: 2019年3月19日

    会議種別:ポスター発表  

    開催地:早稲田大学 西早稲田キャンパス  

    researchmap

  • 水晶発信器を用いない光再構成型ゲートアレイへの順序回路の実装

    髙月 信太朗, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会  2023年9月14日 

     詳細を見る

    記述言語:日本語  

    researchmap

  • 光再構成型ゲートアレイの放射線試験向けモニタリングシステム

    関岡空己, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会  2023年9月14日 

     詳細を見る

    記述言語:日本語  

    researchmap

  • Realization of a wafer-scale VLSI by using optically reconfigurable gate array architecture

    Atsushi Takata, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Design example of a triple modular redundancy ALU, a register file, and a program counter for a processor

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Remote monitoring system used in a severe radiation environment

    Utsuki Sekioka, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Evaluation of low-voltage operations of an optically reconfigurable gate array VLSI

    Yuki Shimamura, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • An optically reconfigurable gate array driven by an unstabilized power supply unit

    Masashi Tsujino, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Photodiode current range measurement result of an opptically reconfigurable gate array VLSI

    Sae Goto, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Total-ionizing-dose tolerance of an optically reconfigurable gate array VLSI

    Kaho Yamada, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • A mono instruction set computer architecture on an optically reconfigurable gate array VLSI

    Soma Imai, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Sequential circuit implementation onto optically reconfigurable gate array VLSI using a ring oscillator

    Shintaro Takatsuki, Minoru Watanabe, Nobuya Watanabe

    The 7th International Forum on the Decommissioning of the Fukushima Daiichi Nuclear Station  2023年8月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Multi-context-scrubbing operation for a 1-bit counter circuit

    Kakeru Ando, Minoru Watanabe, Nobuya Watanabe

    21st IEEE Interregional NEWCAS Conference - An IEEE CAS Society Interregional Flagship Conference  2023年6月28日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Design example of a triple modular redundancy ALU and a register file for RISC-V processors

    Masato Isobe, Minoru Watanabe, Nobuya Watanabe

    RISC-V Day Tokyo 2023 Summer  2023年6月20日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • 光再構成型ゲートアレイの低電圧動作評価

    島村侑希, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会  2023年6月9日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Multi-context optically reconfigurable gate array system used for fast-nuetron experiments

    Sae Goto, Kakeru Ando, Kaho Yamada, Minoru Watanabe, Nobuya Watanabe, Makoto Kobayashi, Mitsutaka Isobe, Kunihiro Ogawa, Shingo Tamaki, Isao Murata, Sachie Kusaka

    16th IEEE Dallas Circuits and Systems Conference (DCAS)  2023年4月15日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • 脈流電源を用いた光再構成型ゲートアレイ

    辻野 将, 渡邊 実, 渡邊誠也

    情報処理学会第85回全国大会  2023年3月3日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • マルチコンテキストスクラビングによる順序回路実装

    安藤 駆, 渡邊 実, 渡邊誠也

    2023年3月2日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Total-Ionizing-Dose Tolerance Analysis of a Radiation-Hardened Image Sensor

    Daisuke Bamba, Minoru Watanabe, Nobuya Watanabe

    IEEE 41st International Conference on Consumer Electronics (ICCE)  2023年1月 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • 宇宙機器向けマルチコンテキストスクラビング

    安藤 駆, 渡邊 実, 渡邊誠也

    第66回宇宙科学技術連合講演会  2022年11月2日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • 耐放射線イメージセンサのトータルドーズ耐性

    番場大輔, 渡邊 実, 渡邊誠也

    第66回宇宙科学技術連合講演会  2022年11月2日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Total Dose Tolerance Analysis of an Optically Reconfigurable Gate Array VLSI

    Kaho Yamada, Takeshi Okazaki, Minoru Watanabe, Nobuya Watanabe

    29th IEEE International Conference on Electronics Circuits and Systems  2022年10月25日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  • 光再構成型ゲートアレイVLSIの290 Mradまでのトータルドーズ耐性試験

    山田果歩, 岡崎武志, 渡邊 実, 渡邊誠也

    電子情報通信学会リコンフィギャラブルシステム研究会  2022年6月7日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Convolutional neural network implementation using Vitas AI

    Akihiko Ushiroyama, Minoru Watanabe, Nobuya Watanabe

    The IEEE 12th Annual Computing and Communication Workshop and Conference (CCWC)  2022年1月28日 

     詳細を見る

    記述言語:英語   会議種別:口頭発表(一般)  

    researchmap

  • FSLによる3値化CNNのFPGA実装

    尾崎洸人, 渡邊誠也, 名古屋 彰, 渡邊 実

    第47回パルテノン研究会  2021年12月25日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Vitis AI を用いたCNN実装

    後山晃彦, 渡邊誠也, 名古屋 彰, 渡邊 実

    電子情報通信学会リコンフィギャラブルシステム研究会  2021年9月10日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • ハードウェア記述言語FSLにおける手続き記述手法の拡張,

    中村一歩, 渡邊誠也, 名古屋 彰

    電子情報通信学会 2019年総合大会 情報・システムソサイエティ特別企画 学生ポスターセッション  2019年3月19日 

     詳細を見る

    記述言語:日本語   会議種別:ポスター発表  

    researchmap

  • 任意精度の浮動小数点演算器のSFL記述自動生成ツール

    佐藤佑己, 渡邊誠也, 名古屋 彰

    第44回パルテノン研究会  2018年12月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • GPUを有する計算器向けプログラミング環境の比較評価

    小森遼太, 渡邊誠也, 名古屋 彰

    第44回パルテノン研究会  2018年12月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • RISC-VプロセッサのFSLによる実装と評価

    大西創也, 渡邊誠也, 名古屋 彰

    第44回パルテノン研究会  2018年12月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Intel HLS Compilerを用いたハードウェア設計手法の評価

    宮地航太, 渡邊誠也, 名古屋 彰

    第44回パルテノン研究会  2018年12月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • OpenCLを用いたマルチデバイス処理向けのタスク振り分け手法

    林 卓哉, 渡邊誠也, 名古屋 彰

    平成30年度(第69回)電気・情報関連学会中国支部連合大会  2018年10月20日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • SoC型FPGA向けウイルス検出エンジンのハードウェア実装

    安井寛貴, 渡邊誠也, 名古屋 彰

    平成30年度(第69回)電気・情報関連学会中国支部連合大会  2018年10月20日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • 任意精度演算器のSFL記述の自動生成に関する研究

    佐藤佑己, 渡邊誠也, 名古屋 彰

    電子情報通信学会 2017年総合大会 情報・システムソサイエティ特別企画 学生ポスターセッション  2018年3月20日 

     詳細を見る

    記述言語:日本語   会議種別:ポスター発表  

    researchmap

  • Intel HLS Compilerを用いた画像処理ハードウェア設計に関する検討

    宮地航太, 渡邊誠也, 名古屋 彰

    電子情報通信学会 2017年総合大会 情報・システムソサイエティ特別企画 学生ポスターセッション  2018年3月20日 

     詳細を見る

    記述言語:日本語   会議種別:ポスター発表  

    researchmap

  • プログラマブルSoC向けの高位合成によるCNN設計手法に関する研究

    津田輝一, 渡邊誠也, 名古屋 彰

    第43回パルテノン研究会  2017年12月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • ハードウェア記述言語FSLの拡張に関する研究

    三木啓輔, 渡邊誠也, 名古屋 彰

    第43回パルテノン研究会  2017年12月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • 高位合成系Synthesijerによるハードウェア設計の評価

    中村一歩, 渡邊誠也, 名古屋 彰

    第43回パルテノン研究会  2017年12月26日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • FSL - A Sophisticated Hardware Description Lnaguage Inheriting Design Philosophy of SFL

    2017 Taiwan and Japan Conference on Circuits and Systems (TJCAS 2017)  2017年8月22日 

     詳細を見る

    記述言語:英語   会議種別:ポスター発表  

    researchmap

  • Scala記述のOpenCLカーネルからのハードウェア記述生成手法の検討

    渡邊誠也, 名古屋 彰

    第42回パルテノン研究会  2016年12月17日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • ハードウェア記述言語FSLの処理系におけるSFL Backendの実装

    三木啓輔, 渡邊誠也, 名古屋 彰

    平成28年度(第67回)電気・情報関連学会中国支部連合大会  2016年10月22日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • ハードウェア記述言語SFLからVerilog HDLへの変換系「SFLコンパイラ」の設計と実装

    渡邊誠也, 名古屋 彰

    第41回パルテノン研究会  2015年12月25日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • ハードウェア記述言語FSLからSFLへの変換系の実装

    三木啓輔, 渡邊誠也, 名古屋 彰

    第41回パルテノン研究会  2015年12月25日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • SFLの設計思想と言語機能を受け継いだ新たなハードウェア記述言語FSL

    渡邊誠也, 名古屋 彰

    第41回パルテノン研究会  2015年12月25日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • オブジェクト指向/関数型言語をベースとするハードウェア記述言語FSLの設計

    渡邊誠也, 名古屋 彰

    電子情報通信学会リコンフィギャラブルシステム研究会  2015年9月18日 

     詳細を見る

    記述言語:日本語   会議種別:口頭発表(一般)  

    researchmap

  • Javaで実装したプロセッサシミュレータ

    渡邊誠也

    先進的計算基盤システムシンポジウム SACSIS2006 論文集  2006年5月23日 

     詳細を見る

    記述言語:日本語   会議種別:ポスター発表  

    researchmap

▼全件表示

受賞

  • Best Session Presentation Award

    2024年1月   IEEE CTSoc (Consumer Technology Society), The 2024 IEEE International Conference on Consumer Electronics (ICCE 2024)   Parallel configuration experiment for a radiation-hardened optically reconfigurable gate array with a holographic polymer-dispersed liquid crystal memory

    Sae Goto

     詳細を見る

    受賞区分:国際学会・会議・シンポジウム等の賞  受賞国:アメリカ合衆国

    researchmap

  • 学生奨励賞

    2023年3月   情報処理学会第85回全国大会   脈流電源を用いた光再構成型ゲートアレイ

    辻野将

     詳細を見る

  • 社会貢献賞

    2023年3月   岡山大学工学部   フィールドプログラマテクノロジー国際会議におけるFPGAデザインコンテスト開催への貢献

    渡邊実, 渡邊誠也

     詳細を見る

  • 教育貢献賞

    2022年3月   岡山大学工学部   教育用計算機システムの充実に関する貢献

    乃村能成, 上野 史, 原 直, 渡邊誠也

     詳細を見る

  • Best Paper Award

    2022年1月   The IEEE 12th Annual Computing and Communication Workshop and Conference (CCWC)   Convolutional neural network implementation using Vitas AI

    Akihiko Ushiroyama, Minoru Watanabe, Nobuya Watanabe, Akira Nagoya

     詳細を見る

  • 優秀プレゼンテーション賞

    2021年12月   特定非営利活動法人パルテノン研究会   FSLによる3値化CNNのFPGA実装

    尾崎洸人

     詳細を見る

  • 優秀プレゼンテーション賞

    2020年12月   特定非営利活動法人パルテノン研究会   CNNの実装によるFPGA利用環境Vitisの評価

    後山晃彦

     詳細を見る

  • 準優勝

    2020年9月   電子情報通信学会リコンフィギャラブルシステム研究専門委員会   第9回相磯秀夫杯FPGAデザインコンテスト(課題: FPGAによる自動車の自動走行)

    小林佳樹, 安藤 駆, 渡邊誠也, 名古屋 彰

     詳細を見る

▼全件表示

共同研究・競争的資金等の研究

  • 高速動的再構成可能デバイス向けハードウェア設計システムの開発

    研究課題/領域番号:23K11032  2023年04月 - 2026年03月

    日本学術振興会  科学研究費助成事業  基盤研究(C)

    渡邊 誠也, 渡邊 実

      詳細を見る

    配分額:4680000円 ( 直接経費:3600000円 、 間接経費:1080000円 )

    researchmap

  • データ並列プログラミング言語のマルチスレッド拡張に関する研究

    研究課題/領域番号:13780240  2001年 - 2002年

    日本学術振興会  科学研究費助成事業  若手研究(B)

    渡邊 誠也

      詳細を見る

    配分額:1600000円 ( 直接経費:1600000円 )

    本研究では,データ並列プログラムにおいて制御の並列性をユーザが明示的に記述することが可能な並列プログラミング言語を設計し,その言語処理系の実装を行なった.また,並列実行環境としてPCクラスタシステムを構築し,構築したPCクラスタ上で設計した言語および言語処理系の性能評価実験を行なった.本年度は,マルチスレッド拡張したデータ並列言語(昨年度設計)の処理系とその性能評価実験を主に行なった.
    実験用の言語処理系は,変換系(コンパイラ)と実行系(仮想マシン)で構成される.コンパイラ,実行系とも効率的な実装を行なうためにJava言語での実装を行ない,既存のコンパイラ作成支援ツールを利用した.仮想マシンの実装は,Java言語のマルチスレッド機能を利用した.さらに,PCクラスタでマルチプロセス実行を行なうために,Java言語で利用可能なメッセージ通信を行なうためのクラスライブラリの設計と実装も行なった.
    処理系を用いた評価実験は,4台のマルチプロセッサPCを100Mbpsおよび1Gbpsのネットワークスイッチで結合したPCクラスタシステム上で行なった.スレッド間でのデータ依存度が低い場合には,マルチスレッド化による効果が大きいことが分かった.効率が悪いケースについても,処理系におけるコード最適化により解決できるものもあることが分かった.
    本研究により,データ並列プログラムにおいて制御の並列性をユーザが明示的に記述する言語とその言語処理系の実装を行ない,データ並列言語をマルチスレッド拡張することの有効性を確認した.また,高効率実行のためにコード最適化手法に関する検討を行なった.

    researchmap

 

担当授業科目

  • コンパイラ (2023年度) 第3学期  - 月7~8,金1~2

  • コンパイラ (2023年度) 第3学期  - 月7~8,金1~2

  • コンパイラ (2023年度) 第3学期  - 月7~8,金1~2

  • 実践プログラミング (2023年度) 第2学期  - 火7~8,その他

  • 実践プログラミング (2023年度) 第2学期  - 火7~8,その他

  • 情報工学実験A (ハードウェア) (2023年度) 第1学期  - 月3~7,木3~7

  • 情報工学実験A(ハードウェア) (2023年度) 第1学期  - 月3~7,木3~7

  • 技術英語 (2023年度) 後期  - その他

  • 技術英語 (情報系) (2023年度) 後期  - その他

  • 特別研究 (2023年度) その他  - その他

  • 表現技法1(情報系) (2023年度) 前期  - その他

  • 表現技法2(情報系) (2023年度) 後期  - その他

  • 表現技法1 (2023年度) 前期  - その他

  • 表現技法2 (2023年度) 後期  - その他

  • 電子情報システム工学特別研究 (2023年度) 通年  - その他

  • コンパイラ (2022年度) 第3学期  - 月5~6,金1~2

  • コンパイラ (2022年度) 第3学期  - 月5~6,金1~2

  • コンパイラ (2022年度) 第3学期  - 月5~6,金1~2

  • 情報工学実験A (ハードウェア) (2022年度) 第1学期  - 月3~7,木3~7

  • 技術英語 (2022年度) 後期  - その他

  • 表現技法1 (2022年度) 前期  - その他

  • 表現技法2 (2022年度) 後期  - その他

  • 電子情報システム工学特別研究 (2022年度) 通年  - その他

  • コンパイラ (2021年度) 第3学期  - 月5,月6,金1,金2

  • コンパイラ (2021年度) 第3学期  - 月5,月6,金1,金2

  • コンパイラ (2021年度) 第3学期  - 月5,月6,金1,金2

  • 情報工学実験A (ハードウェア) (2021年度) 第1学期  - 月3,月4,月5,月6,月7,木3,木4,木5,木6,木7

  • 技術英語 (2021年度) 後期  - その他

  • 表現技法1 (2021年度) 前期  - その他

  • 表現技法2 (2021年度) 後期  - その他

  • 電子情報システム工学特別研究 (2021年度) 通年  - その他

  • コンパイラ (2020年度) 第3学期  - 月5,月6,金1,金2

  • コンパイラ (2020年度) 第3学期  - 月5,月6,金1,金2

  • コンパイラ (2020年度) 第3学期  - 月5,月6,金1,金2

  • 情報工学実験A (ハードウェア) (2020年度) 第1学期  - 月3,月4,月5,月6,木3,木4,木5,木6

  • 情報工学実験第一 (2020年度) 第1学期  - 月3,月4,月5,月6,木3,木4,木5,木6

  • 技術英語 (2020年度) 後期  - その他

  • 表現技法1 (2020年度) 前期  - その他

  • 表現技法2 (2020年度) 後期  - その他

  • 電子情報システム工学特別研究 (2020年度) 通年  - その他

▼全件表示

 

学術貢献活動

  • The International Conference on Field-Programmable Technology (FPT'22) FPGA Design Competition (Local Support Member)

    役割:企画立案・運営等

    The Hong Kong University of Science and Technology  2022年12月6日 - 2022年12月8日

     詳細を見る

    種別:学会・研究会等 

    researchmap

  • 第11回相磯秀夫杯FPGAデザインコンテスト(2022)委員

    役割:企画立案・運営等

    電子情報通信学会リコンフィギャラブルシステム研究専門委員会  2022年9月20日 - 2022年9月21日

     詳細を見る

    種別:学会・研究会等 

    researchmap

  • 第47回パルテノン研究会

    役割:企画立案・運営等, パネル司会・セッションチェア等

    特定非営利活動法人パルテノン研究会  2021年12月25日

     詳細を見る

    種別:学会・研究会等 

    researchmap

  • The International Conference on Field-Programmable Technology (FPT'21) FPGA Design Competition (Local Support Member)

    役割:企画立案・運営等

    2021年12月6日

     詳細を見る

    種別:学会・研究会等 

    researchmap

  • 第10回相磯秀夫杯FPGAデザインコンテスト(2021)委員

    役割:企画立案・運営等

    電子情報通信学会リコンフィギャラブルシステム研究専門委員会  2021年10月24日

     詳細を見る

    種別:学会・研究会等 

    researchmap